Transistor count

Plot of transistor counts against dates of introduction. The curve shows counts doubling every two years, per Moore's law.

The transistor count is the number of transistors on an integrated circuit (IC). Transistor count is the most common measure of IC complexity, although there are caveats. For instance, the majority of transistors are contained in the cache memories in modern microprocessors, which consist mostly of the same memory cell circuits replicated many times. The rate at which transistor counts have increased generally follows Moore's law, which observed that the transistor count doubles approximately every two years. As of 2017, the largest transistor count in a commercially available single-chip processor is 19.2 billion AMD's Ryzen-based Epyc. In other types of ICs, such as field-programmable gate arrays (FPGAs), Intel's (previously Altera) Stratix 10 has the largest transistor count, containing over 30 billion transistors.[1] [2]

Microprocessors

A microprocessor incorporates the functions of a computer's central processing unit on a single integrated circuit. It is a multipurpose, programmable device that accepts digital data as input, processes it according to instructions stored in its memory, and provides results as output.

Processor Transistor count Date of introduction Designer Process Area
TMS 1000 8,000 1974[3] Texas Instruments 8,000 nm 11 mm²
Intel 4004 2,300 1971 Intel 10,000 nm 12 mm²
Intel 8008 3,500 1972 Intel 10,000 nm 14 mm²
MOS Technology 6502 3,510[4] 1975 MOS Technology 8,000 nm 21 mm²
Motorola 6800 4,100 1974 Motorola 6,000 nm 16 mm²
Intel 8080 4,500 1974 Intel 6,000 nm 20 mm²
RCA 1802 5,000 1974 RCA 5,000 nm 27 mm²
Intel 8085 6,500 1976 Intel 3,000 nm 20 mm²
Zilog Z80 8,500 1976 Zilog 4,000 nm 18 mm²
Motorola 6809 9,000 1978 Motorola 5,000 nm 21 mm²
Intel 8086 29,000 1978 Intel 3,000 nm 33 mm²
Intel 8088 29,000 1979 Intel 3,000 nm 33 mm²
WDC 65C02 11,500[5] 1981 WDC 3,000 nm 6 mm²
Intel 80186 55,000 1982 Intel 3,000 nm 60 mm²
Motorola 68000 68,000 1979 Motorola 3,500 nm 44 mm²
Intel 80286 134,000 1982 Intel 1,500 nm 49 mm²
WDC 65C816 22,000[6] 1983 WDC 3000 nm[7] 9 mm²
Motorola 68020 190,000[8] 1984 Motorola 2,000 nm 85 mm²
Intel 80386 275,000 1985 Intel 1,500 nm 104 mm²
ARM 1 25,000[8] 1985 Acorn 3,000 nm 50 mm²
Novix NC4016 16,000[9] 1985[10] Harris Corporation 3,000 nm[11]
ARM 2 30,000[8] 1986 Acorn 2,000 nm 30 mm²
Motorola 68030 273,000 1987 Motorola 800 nm 102 mm²
TI Explorer's 32-bit Lisp machine chip 553,000[12] 1987 Texas Instruments 2,000 nm[13]
DEC WRL MultiTitan 180,000[14] 1988 DEC WRL 1,500 nm 61 mm²
Intel i960 250,000[15] 1988 Intel 600 nm
Intel 80486 1,180,235 1989 Intel 1000 nm 173 mm²
ARM 3 310,000 1989 Acorn 1,500 nm 87 mm²
68040 1,200,000 1990 Motorola 650 nm 152 mm²
R4000 1,350,000 1991 MIPS 1,000 nm 213 mm²
ARM 6 35,000 1991 ARM 800 nm
Pentium 3,100,000 1993 Intel 800 nm 294 mm²
ARM700 578,977[16] 1994 ARM 68.51 mm²
68060 2,500,000 1994 Motorola 600 nm 218 mm²
SA-110 2,500,000[8] 1995 Acorn/DEC/Apple 350 nm 50 mm²
ARM 9TDMI 111,000[8] 1999 Acorn 350 nm 4.8 mm²
Pentium Pro 5,500,000[17] 1995 Intel 500 nm 307 mm²
AMD K5 4,300,000 1996 AMD 500 nm 251 mm²
Pentium II Klamath 7,500,000 1997 Intel 350 nm 195 mm²
Pentium II Deschutes 7,500,000 1998 Intel 250 nm 113 mm²
AMD K6 8,800,000 1997 AMD 350 nm 162 mm²
Pentium III Katmai 9,500,000 1999 Intel 250 nm 128 mm²
Pentium III Coppermine 21,000,000 2000 Intel 180 nm 80 mm²
Pentium II Mobile Dixon 27,400,000 1999 Intel 180 nm 180 mm²
Pentium III Tualatin 45,000,000 2001 Intel 130 nm 81 mm²
AMD K6-III 21,300,000 1999 AMD 250 nm 118 mm²
AMD K7 22,000,000 1999 AMD 250 nm 184 mm²
Pentium 4 Willamette 42,000,000 2000 Intel 180 nm 217 mm²
Pentium 4 Northwood 55,000,000 2002 Intel 130 nm 145 mm²
Pentium 4 Prescott 112,000,000 2004 Intel 90 nm 110 mm²
Pentium 4 Prescott-2M 169,000,000 2005 Intel 90 nm 143 mm²
Pentium 4 Cedar Mill 184,000,000 2006 Intel 65 nm 90 mm²
Pentium D Smithfield 228,000,000 2005 Intel 90 nm 206 mm²
Pentium D Presler 362,000,000 2006 Intel 65 nm 162 mm²
Atom 47,000,000 2008 Intel 45 nm 24 mm²
Barton 54,300,000 2003 AMD 130 nm 101 mm²
AMD K8 105,900,000 2003 AMD 130 nm 193 mm²
Itanium 2 McKinley 220,000,000 2002 Intel 180 nm 421 mm²
Cell 241,000,000 2006 Sony/IBM/Toshiba 90 nm 221 mm²
Core 2 Duo Conroe 291,000,000 2006 Intel 65 nm 143 mm²
Core 2 Duo Allendale 169,000,000 2007 Intel 65 nm 111 mm²
Itanium 2 Madison 6M 410,000,000 2003 Intel 130 nm 374 mm²
Atom "Medfield" 432,000,000[18] 2012 Intel 32 nm 64 mm²
AMD K10 quad-core 2M L3 463,000,000[19] 2007 AMD 65 nm 283 mm²
ARM Cortex-A9 26,000,000[20] 2007 ARM 45 nm 31 mm²
Core 2 Duo Wolfdale 3M 230,000,000 2008 Intel 45 nm 83 mm²
Itanium 2 with 9 MB cache 592,000,000 2004 Intel 130 nm 432 mm²
Core 2 Duo Wolfdale 411,000,000 2007 Intel 45 nm 107 mm²
Core i7 (Quad) 731,000,000 2008 Intel 45 nm 263 mm²
AMD K10 quad-core 6M L3 758,000,000[19] 2008 AMD 45 nm 258 mm²
POWER6 789,000,000 2007 IBM 65 nm 341 mm²
Six-core Opteron 2400 904,000,000 2009 AMD 45 nm 346 mm²
16-core SPARC T3 1,000,000,000[21] 2010 Sun/Oracle 40 nm 377 mm²
Apple A7 (dual-core ARM64 "mobile SoC") 1,000,000,000 2013 Apple 28 nm 102 mm²
Quad-core + GPU Core i7 1,160,000,000 2011 Intel 32 nm 216 mm²
Six-core Core i7 (Gulftown) 1,170,000,000 2010 Intel 32 nm 240 mm²
8-core POWER7 32M L3 1,200,000,000 2010 IBM 45 nm 567 mm²
8-core AMD Bulldozer 1,200,000,000[22] 2012 AMD 32 nm 315 mm²
Quad-core + GPU AMD Trinity 1,303,000,000 2012 AMD 32 nm 246 mm²
Quad-core z196[23] 1,400,000,000 2010 IBM 45 nm 512 mm²
Quad-core + GPU Core i7 Ivy Bridge 1,400,000,000 2012 Intel 22 nm 160 mm²
Quad-core + GPU Core i7 Haswell 1,400,000,000[24] 2014 Intel 22 nm 177 mm²
Dual-core Itanium 2 1,700,000,000[25] 2006 Intel 90 nm 596 mm²
Quad-core + GPU GT2 Core i7 Skylake K 1,750,000,000 2015 Intel 14 nm 122 mm²
Six-core Core i7 Ivy Bridge E 1,860,000,000 2013 Intel 22 nm 256 mm²
Dual-core + GPU Iris Core i7 Broadwell-U 1,900,000,000[26] 2015 Intel 14 nm 133 mm²
Six-core Xeon 7400 1,900,000,000 2008 Intel 45 nm 503 mm²
Quad-core Itanium Tukwila 2,000,000,000[27] 2010 Intel 65 nm 699 mm²
Apple A8 (dual-core ARM64 "mobile SoC") 2,000,000,000 2014 Apple 20 nm 89 mm²
8-core POWER7+ 80 MB L3 cache 2,100,000,000 2012 IBM 32 nm 567 mm²
Six-core Core i7/8-core Xeon E5
(Sandy Bridge-E/EP)
2,270,000,000[28] 2011 Intel 32 nm 434 mm²
8-core Xeon Nehalem-EX 2,300,000,000[29] 2010 Intel 45 nm 684 mm²
8-core Core i7 Haswell-E 2,600,000,000[30] 2014 Intel 22 nm 355 mm²
10-core Xeon Westmere-EX 2,600,000,000 2011 Intel 32 nm 512 mm²
Six-core zEC12 2,750,000,000 2012 IBM 32 nm 597 mm²
Apple A8X (tri-core ARM64 "mobile SoC") 3,000,000,000[31] 2014 Apple 20 nm 128 mm²
Qualcomm Snapdragon 835 (octa-core ARM64 "mobile SoC") 3,000,000,000[32][33] 2016 Qualcomm 10 nm
8-core Itanium Poulson 3,100,000,000 2012 Intel 32 nm 544 mm²
10-core Core i7 Broadwell-E 3,200,000,000[34] 2016 Intel 14 nm 246 mm²[35]
Apple A10 Fusion (quad-core ARM64 "mobile SoC") 3,300,000,000 2016 Apple 16 nm 125 mm²
IBM z13 3,990,000,000 2015 IBM 22 nm 678 mm²
12-core POWER8 4,200,000,000 2013 IBM 22 nm 650 mm²
Apple A11 Bionic (hexa-core ARM64 "mobile SoC") 4,300,000,000 2017 Apple 10 nm 89 mm²
15-core Xeon Ivy Bridge-EX 4,310,000,000[36] 2014 Intel 22 nm 541 mm²
8-core Ryzen 4,800,000,000[37] 2017 AMD 14 nm 192 mm²
61-core Xeon Phi 5,000,000,000[38] 2012 Intel 22 nm 720 mm²
Xbox One main SoC 5,000,000,000 2013 Microsoft/AMD 28 nm 363 mm²
18-core Xeon Haswell-E5 5,560,000,000[39] 2014 Intel 22 nm 661 mm²
IBM z14 6,100,000,000 2017 IBM 14 nm 696 mm²
Apple A12 (hexa-core ARM64 "mobile SoC") 6,900,000,000[40][41] 2018 Apple 7 nm 83.27 mm2
Xbox One X (Project Scorpio) main SoC 7,000,000,000[42] 2017 Microsoft/AMD 16 nm 360 mm²[42]
IBM z13 Storage Controller 7,100,000,000 2015 IBM 22 nm 678 mm²
22-core Xeon Broadwell-E5 7,200,000,000[43] 2016 Intel 14 nm 456 mm²
POWER9 8,000,000,000 2017 IBM 14 nm 695 mm²
72-core Xeon Phi 8,000,000,000 2016 Intel 14 nm 683 mm²
IBM z14 Storage Controller 9,700,000,000 2017 IBM 14 nm 696 mm²
32-core SPARC M7 10,000,000,000[44] 2015 Oracle 20 nm
Centriq 2400 18,000,000,000[45] 2017 Qualcomm 10 nm 398 mm2
32-core AMD Epyc 19,200,000,000 2017 AMD 14 nm 768 mm2

Transistorized computers

The "second generation" of computers (transistor computers) featured boards filled with discrete transistors and magnetic memory cores.

Processor Transistor count Date of introduction Manufacturer Process Area
"Transistor Computer" (full size) 200 discrete point-contact transistors 1955 University of Manchester ? ?
"Metrovick 950" 200 discrete junction transistors 1956 Metropolitan-Vickers ? ?
IBM 7090 50,000+ discrete germanium transistors[46] 1959 IBM
PDP-1 2,700 discrete transistors 1959 Digital Equipment Corporation
M18 FADAC 1,600 discrete transistors 1960 Autonetics ? ?
D-17B 1,521 discrete transistors 1962 Autonetics ? ?

Early integrated circuit computers

Computer Manufacturer Year Transistors Notes
PDP-8/I Digital Equipment Corporation 1968 ? Uses 74 series TTL circuits
Apollo Guidance Computer Block II Raytheon
MIT Instrumentation Laboratory
1966 12,300 4,100 ICs, each containing a 3-transistor, 3-input NOR gate

GPUs

A graphics processing unit (GPU) is a specialized electronic circuit designed to rapidly manipulate and alter memory to accelerate the building of images in a frame buffer intended for output to a display.

Processor Transistor count Date of introduction Manufacturer Process Area
NV3 3,500,000 1997 NVIDIA 350 nm 90 mm²
Rage 128 8,000,000 1999 AMD 250 nm 70 mm²
NV5 15,000,000 1999 Nvidia 250 nm
NV10 23,000,000 1999 Nvidia 220 nm 111 mm²
NV11 20,000,000 2000 Nvidia 180 nm 65 mm²
NV15 25,000,000 2000 Nvidia 180 nm 81 mm²
R100 30,000,000 2000 AMD 180 nm 97 mm²
NV20 57,000,000 2001 Nvidia 150 nm 128 mm²
R200 60,000,000 2001 AMD 150 nm 68 mm²
NV25 63,000,000 2002 Nvidia 150 nm 142 mm²
R300 107,000,000 2002 AMD 150 nm 218 mm²
R360 117,000,000 2003 AMD 150 nm 218 mm²
NV38 135,000,000 2003 Nvidia 130 nm 207 mm²
R480 160,000,000 2004 AMD 130 nm 297 mm²
G86 Tesla 210,000,000 2007 Nvidia 80 nm 127 mm²
G98 Tesla 210,000,000 2008 Nvidia 65 nm 86 mm²
NV40 222,000,000 2004 Nvidia 130 nm 305 mm²
RV710 242,000,000 2008 AMD 55 nm 73 mm²
GT218 Tesla 260,000,000 2009 Nvidia 40 nm 57 mm²
G84 Tesla 289,000,000 2007 Nvidia 80 nm 169 mm²
Cedar RV810 292,000,000 2010 AMD 40 nm 59 mm²
GF119 Fermi 292,000,000 2011 Nvidia 40 nm 79 mm²
G70 303,000,000 2005 Nvidia 110 nm 333 mm²
G96 Tesla 314,000,000 2008 Nvidia 55 nm 121 mm²
R520 321,000,000 2005 AMD 90 nm 288 mm²
Caicos RV910 370,000,000 2011 AMD 40 nm 67 mm²
R580 384,000,000 2006 AMD 90 nm 352 mm²
GT216 Tesla 486,000,000 2009 Nvidia 40 nm 100 mm²
G94 Tesla 505,000,000 2008 Nvidia 65 nm 240 mm²
RV730 514,000,000 2008 AMD 55 nm 146 mm²
GF108 Fermi 585,000,000 2011 Nvidia 40 nm 116 mm²
Redwood RV830 627,000,000 2010 AMD 40 nm 104 mm²
RV670 666,000,000 2008 AMD 55 nm 192 mm²
G80 681,000,000 2006 Nvidia 90 nm 480 mm²
R600 700,000,000 2007 AMD 80 nm 420 mm²
Turks RV930 716,000,000 2011 AMD 40 nm 118 mm²
GT215 Tesla 727,000,000 2009 Nvidia 40 nm 144 mm²
G92 754,000,000 2007 Nvidia 65 nm 324 mm²
RV740 826,000,000 2009 AMD 40 nm 137 mm²
RV770 956,000,000 2008 AMD 55 nm 256 mm²
RV790 959,000,000[47] 2008 AMD 55 nm 282 mm²
Juniper RV840 1,040,000,000 2009 AMD 40 nm 166 mm²
Oland 1,040,000,000 2013 AMD 28 nm 90 mm²
GF106 Fermi 1,170,000,000 2010 Nvidia 40 nm 238 mm²
GK107 Kepler 1,270,000,000 2012 Nvidia 28 nm 118 mm²
GT200b Tesla 1,400,000,000 2008 Nvidia 55 nm 470 mm²
GT200 Tesla 1,400,000,000[48] 2008 Nvidia 65 nm 576 mm²
Cape Verde 1,500,000,000 2012 AMD 28 nm 123 mm²
Barts RV940 1,700,000,000 2010 AMD 40 nm 255 mm²
GP108 Pascal 1,850,000,000 2017 Nvidia 14 nm 74 mm²
GM107 Maxwell 1,870,000,000 2014 Nvidia 28 nm 148 mm²
GF104 Fermi 1,950,000,000 2011 Nvidia 40 nm 332 mm²
Bonaire 2,080,000,000 2013 AMD 28 nm 160 mm²
Cypress RV870 2,154,000,000[49] 2009 AMD 40 nm 334 mm²
Polaris 12 "Lexa" 2,200,000,000 2017 AMD 14 nm 101 mm²
GK106 Kepler 2,540,000,000 2012 Nvidia 28 nm 221 mm²
Cayman RV970 2,640,000,000 2010 AMD 40 nm 389 mm²
Pitcairn 2,800,000,000 2012 AMD 28 nm 212 mm²
GM206 Maxwell 2,940,000,000 2014 Nvidia 28 nm 228 mm²
Polaris 11 "Baffin" 3,000,000,000 2016 AMD 14 nm 123 mm²
GF100 Fermi 3,200,000,000[50] 2010 Mar Nvidia 40 nm 526 mm²
GF110 Fermi 3,000,000,000[50] 2010 Nov Nvidia 40 nm 520 mm²
GP107 Pascal 3,300,000,000 2017 Nvidia 14 nm 132 mm²
GK104 Kepler 3,540,000,000[51] 2012 Nvidia 28 nm 294 mm²
Tahiti 4,312,711,873[52] 2011 AMD 28 nm 365 mm²
GP106 Pascal 4,400,000,000 2016 Nvidia 16 nm 200 mm²
Tonga 5,000,000,000 2014 AMD 28 nm 366 mm²
GM204 Maxwell 5,200,000,000 2014 Nvidia 28 nm 398 mm²
Polaris 10 "Ellesmere" 5,700,000,000[53] 2016 AMD 14 nm 232 mm²
Hawaii 6,300,000,000 2013 AMD 28 nm 438 mm²
GK110 Kepler 7,080,000,000[54] 2012[55] Nvidia 28 nm 561 mm²
GP104 Pascal 7,200,000,000 2016 Nvidia 16 nm 314 mm²
GM200 Maxwell 8,000,000,000 2015 Nvidia 28 nm 601 mm²
Fiji 8,900,000,000 2015 AMD 28 nm 596 mm²
GP102 Pascal 11,800,000,000 2016 Nvidia 16 nm 471 mm²
Vega 10 12,500,000,000[56] 2017 AMD 14 nm 484 mm²
GP100 Pascal 15,300,000,000[57] 2016 Nvidia 16 nm 610 mm²
TU102 Turing 18,600,000,000 2018 Nvidia 12 nm 754 mm²
GV100 Volta 21,100,000,000[58] 2017 Nvidia 12 nm 815 mm²

FPGA

A field-programmable gate array (FPGA) is an integrated circuit designed to be configured by a customer or a designer after manufacturing.

FPGA Transistor count Date of introduction Manufacturer Process Area Ref
Virtex ~70,000,000 1997 Xilinx
Virtex-E ~200,000,000 1998 Xilinx
Virtex-II ~350,000,000 2000 Xilinx 130 nm
Virtex-II PRO ~430,000,000 2002 Xilinx
Virtex-4 1,000,000,000 2004 Xilinx 90 nm
Virtex-5 1,100,000,000 2006 Xilinx 65 nm [59]
Stratix IV 2,500,000,000 2008 Altera 40 nm [60]
Stratix V 3,800,000,000 2011 Altera 28 nm [61]
Arria 10 5,300,000,000 2014 Altera 20 nm [62]
Virtex-7 6,800,000,000 2011 Xilinx 28 nm [63]
Stratix 10 Family device, 10GX5500/10SX5500 17,000,000,000 2017 Intel (formally Altera) 14 nm 560 mm² [64]
Virtex-Ultrascale XCVU440 20,000,000,000+ 2014 Xilinx 20 nm [65]
Everest 50,000,000,000 2018 Xilinx 7 nm [66] [67]

Logic functions

Transistor count for generic logic functions is based on static CMOS implementation.[68]

Function Transistor count Ref
NOT 2
Buffer 4
NAND 2-input 4
NOR 2-input 4
AND 2-input 6
OR 2-input 6
NAND 3-input 6
NOR 3-input 6
XOR 2-input 6
XNOR 2-input 8
MUX 2-input with TG 6
MUX 4-input with TG 18
NOT MUX 2-input 8
MUX 4-input 24
1-bit adder full 28
1-bit adder–subtractor 48
AND-OR-INVERT 6 [69]
Latch, D gated 8
Flip-flop, edge triggered dynamic D with reset 12
8-bit multiplier 3,000
16-bit multiplier 9,000
32-bit multiplier 21,000 [70]
small-scale integration 2–100 [71]
medium-scale integration 100–500 [71]
large-scale integration 500–20,000 [71]
very-large-scale integration 20,000–1,000,000 [71]
ultra-large scale integration >1,000,000

Memory

Semiconductor memory is an electronic data storage device, often used as computer memory, implemented on integrated circuit.

We know that in order to store a single bit (which may be 1 or 0), one flip-flop is required, made of around eight transistors. Typical CMOS Static random-access memory (SRAM) consists of 6 transistors. For Dynamic random-access memory (DRAM), 1T1C, which means one transistor and one capacitor structure is common. Capacitor charged or not is used to store 1 or 0. For flash memory, the data is stored in floating gate, and the resistance of the transistor is sensed to interpret the data stored. Depending on how fine scale the resistance could be separated, one transistor could store up to 3-bits, meaning eight distinctive level of resistance possible per transistor. However, the fine the scale comes with cost of repeatability therefore reliability. Typically, low grade 2-bits MLC flash is used for flash drive, so a 16 GB flash drive contains roughly 64 billion transistors.

Chip Capacity & type Transistor count Date of introduction Manufacturer Process Area
? 256-bit ROM bipolar TTL ? 1965 Sylvania ? ?
? 1024-bit ROM MOS ? 1965 General Microelectronics ? ?
SP95 16-bit SRAM bipolar ? 1965 IBM ? ?
? 128-bit RAM ? 1969 IBM ? ?
512-bit PROM bipolar TTL ? 1970 Radiation Inc. ? ?
93400 256-bit RAM ? 1970 Fairchild ? ?
1103[72][73] 1 kb DRAM ?1,024 1970 Intel ? ?
1702 Erasable PROM 2 kb EPROM ? 1971 Intel ? ?
? 8 Mb DRAM ?8,388,608 January 6, 1984 (1986) Hitachi ? ?
?[74][75] 64 Mb DRAM ?67,108,864 1994 NEC, Micron 320 nm ?
?[76][77] 256 Mb DRAM ?268,435,456 June 12, 1995 IBM, SIEMENS AG, Toshiba Corp. 250 nm 286 mm²
?[78] 1 Gb DRAM ?1,073,741,824 January 9, 1995 (2001) Hitachi ? ?
4 Gb DRAM
8 Gb DRAM
16 Gb DRAM

Parallel systems

Historically, each processing element in earlier parallel systems—like all CPUs of that time—was a serial computer built out of multiple chips. As transistor counts per chip increases, each processing element could be built out of fewer chips, and then later each multi-core processor chip could contain more processing elements.[79]

Goodyear MPP : (1983?) 8 pixel processors per chip, 3,000 to 8,000 transistors per chip.[79]

Brunel University Scape (single-chip array-processing element): (1983) 256 pixel processors per chip, 120,000 to 140,000 transistors per chip.[79]

Cell Broadband Engine: (2006) 9 cores per chip, 234 million transistors per chip.[80]

See also

References

  1. "Altera's 30 billion transistor FPGA". Gazettabyte. 28 June 2015. Retrieved 1 June 2016.
  2. "Stratix 10 Device Overview" (PDF). Altera. 12 December 2015. Retrieved 1 June 2016.
  3. "Texas Instruments - Low Bandwidth Timeline - Semiconductor". www.ti.com. Retrieved 2016-06-22.
  4. "The MOS 6502 and the Best Layout Guy in the World". swtch.com. Retrieved 2014-08-09.
  5. Microprocessors: 1971 to 1976 Christiansen
  6. "Microprocessors 1976 to 1981". weber.edu. Retrieved 2014-08-09.
  7. "W65C816S 16-bit Core". www.westerndesigncenter.com. Retrieved 2017-09-12.
  8. 1 2 3 4 5 Demone, Paul (2000-11-09). "ARM's Race to World Domination". real world technologies. Retrieved 2015-07-20.
  9. Hand, Tom. "The Harris RTX 2000 Microcontroller" (PDF). mpeforth.com. Retrieved 2014-08-09.
  10. "Forth chips list". UltraTechnology. 2001-03-15. Retrieved 2014-08-09.
  11. Koopman, Philip J. (1989). "4.4 Architecture of the Novix NC4016". Stack Computers: the new wave. Ellis Horwood Series in Computers and Their Applications. Carnegie Mellon University. ISBN 0745804187. Retrieved 2014-08-09.
  12. Bosshart, P.; Hewes, C.; Mi-Chang Chang; Kwok-Kit Chau; Hoac, C.; Houston, T.; Kalyan, V.; Lusky, S.; Mahant-Shetti, S.; Matzke, D.; Ruparel, K.; Ching-Hao Shaw; Sridhar, T.; Stark, D. (October 1987). "A 553K-Transistor LISP Processor Chip". IEEE Journal of Solid-State Circuits. sc-22 (5): 202–3. doi:10.1109/ISSCC.1987.1157084.
  13. Fahlén, Lennart E.; Stockholm International Peace Research Institute (1987). "3. Hardware requirements for artificial intelligence § Lisp Machines: TI Explorer". Arms and Artificial Intelligence: Weapon and Arms Control Applications of Advanced Computing. SIPRI Monograph Series. Oxford University Press. p. 57. ISBN 978-0-19-829122-0.
  14. Jouppi, Norman P.; Tang, Jeffrey Y. F. (July 1989). "A 20-MIPS Sustained 32-bit CMOS Microprocessor with High Ratio of Sustained to Peak Performance" (PDF). Western Research Laboratory, Digital Equipment Corporation. p. i. WRL Research Report 89/11.
  15. "The CPU shack museum". CPUshack.com. 2005-05-15. Retrieved 2014-08-09.
  16. "ARM7 Statistics". Poppyfields.net. 1994-05-27. Retrieved 2014-08-09.
  17. "PC Guide Intel Pentium Pro ("P6")". PCGuide.com. 2001-04-17. Retrieved 2014-08-09.
  18. "IDF2012 Mark Bohr, Intel Senior Fellow" (PDF).
  19. 1 2 Toepelt, Bert (2009-01-08). "AMD Phenom II X4: 45nm Benchmarked — The Phenom II And AMD's Dragon Platform". TomsHardware.com. Retrieved 2014-08-09.
  20. "ARM (Advanced RISC Machines) Processors". EngineersGarage.com. Retrieved 2014-08-09.
  21. Stokes, Jon (2010-02-10). "Sun's 1 billion-transistor, 16-core Niagara 3 processor". ArsTechnica.com. Retrieved 2014-08-09.
  22. "Intel's Atom Architecture: The Journey Begins". AnandTech. Retrieved April 4, 2010.
  23. "IBM to Ship World's Fastest Microprocessor". IBM. 2010-09-01. Retrieved 2014-08-09.
  24. Shimpi, Lal. "The Haswell Review: Intel Core i7-4770K & i5-4670K Tested". anandtech. Retrieved 20 November 2014.
  25. "PRESS KIT — Dual-core Intel Itanium Processor". Intel. Retrieved 2014-08-09.
  26. "Intel's Broadwell-U arrives aboard 15W, 28W mobile processors". TechReport. Retrieved 5 January 2015.
  27. "Itanium Tukwila Archived May 20, 2011, at the Wayback Machine.." AFP. February 5, 2008. Retrieved on February 5, 2008.
  28. Angelini, Chris (2011-11-14). "Intel Core i7-3960X Review: Sandy Bridge-E And X79 Express". TomsHardware.com. Retrieved 2014-08-09.
  29. "Intel Previews Intel Xeon 'Nehalem-EX' Processor." May 26, 2009. Retrieved on May 28, 2009.
  30. "." August 29, 2014. Retrieved on August 29, 2014.
  31. "Apple A8X". NotebookCheck. Retrieved 2015-07-20.
  32. "Qualcomm Snapdragon 835 (8998)". NotebookCheck. Retrieved 2017-09-23.
  33. Takahashi, Dean (January 3, 2017). "Qualcomm's Snapdragon 835 will debut with 3 billion transistors and a 10nm manufacturing process". VentureBeat.
  34. "Broadwell-E: Intel Core i7-6950X, 6900K, 6850K & 6800K Review". Tom's Hardware. 2016-05-30. Retrieved 2017-04-12.
  35. "The Broadwell-E Review". PC Gamer. 2016-07-08. Retrieved 2017-04-12.
  36. "Intel Readying 15-core Xeon E7 v2". AnandTech. Retrieved 2014-08-09.
  37. Cutress, Ian (22 February 2017). "AMD Launches Zen". Anandtech.com. Retrieved 22 February 2017.
  38. "Intel Xeon Phi SE10X". TechPowerUp. Retrieved 2015-07-20.
  39. "Intel Xeon E5-2600 v3 Processor Overview: Haswell-EP Up to 18 Cores". pcper. Retrieved 29 January 2015.
  40. Yang, Daniel; Wegner, Stacy (September 21, 2018). "Apple iPhone Xs Max Teardown". TechInsights. Retrieved September 21, 2018.
  41. "Apple's A12 Bionic is the first 7-nanometer smartphone chip". Engadget. Retrieved 2018-09-26.
  42. 1 2 Leadbetter, Richard (2017-04-06). "Inside the next Xbox: Project Scorpio tech revealed". Eurogamer. Retrieved 2017-05-03.
  43. "Broadwell-EP Architecture - Intel Xeon E5-2600 v4 Broadwell-EP Review". Tom's Hardware. 2016-03-31. Retrieved 2016-04-04.
  44. http://www.enterprisetech.com/2014/08/13/oracle-cranks-cores-32-sparc-m7-chip/
  45. "Qualcomm Datacenter Technologies Announces Commercial Shipment of Qualcomm Centriq 2400 – The World's First 10nm Server Processor and Highest Performance Arm-based Server Processor Family Ever Designed | Qualcomm". Qualcomm. Retrieved 2017-11-09.
  46. 7090 Data Processing System
  47. "The Radeon HD 4850 & 4870: AMD Wins at $199 and $299". AnandTech.com. Retrieved 2014-08-09.
  48. "NVIDIA's 1.4 Billion Transistor GPU: GT200 Arrives as the GeForce GTX 280 & 260". AnandTech.com. Retrieved 2014-08-09.
  49. "Radeon 5870 specifications". AMD. Retrieved 2014-08-09.
  50. 1 2 Glaskowsky, Peter. "ATI and Nvidia face off-obliquely". CNET. Retrieved 2014-08-09.
  51. "Whitepaper: NVIDIA GeForce GTX 680" (PDF). NVIDIA. 2012. Archived from the original (PDF) on April 17, 2012.
  52. Woligroski, Don (2011-12-22). "AMD Radeon HD 7970". TomsHardware.com. Retrieved 2014-08-09.
  53. Smith, Ryan (29 June 2016). "The AMD RX 480 Preview". Anandtech.com. Retrieved 22 February 2017.
  54. http://www.nvidia.com/content/PDF/kepler/NVIDIA-Kepler-GK110-Architecture-Whitepaper.pdf
  55. http://www.anandtech.com/show/6446/nvidia-launches-tesla-k20-k20x-gk110-arrives-at-last
  56. "Radeon's next-generation Vega architecture" (PDF).
  57. https://devblogs.nvidia.com/parallelforall/inside-pascal/
  58. https://devblogs.nvidia.com/parallelforall/inside-volta/
  59. "Taiwan Company UMC Delivers 65nm FPGAs to Xilinx." SDA-ASIA Thursday, November 9, 2006.
  60. ""Altera's new 40nm FPGAs — 2.5 billion transistors!". pldesignline.com.
  61. "Altera unveils 28-nm Stratix V FPGA family". April 20, 2010. Retrieved April 20, 2010.
  62. "Design of a High-Density SoC FPGA at 20nm" (PDF). 2014. Retrieved July 16, 2017.
  63. "Xilinx Announces world’s highest capacity FPGA." October 25, 2011. Retrieved on October 25, 2011.
  64. https://www.deepdyve.com/lp/institute-of-electrical-and-electronics-engineers/3-3-a-14nm-1ghz-fpga-with-2-5d-transceiver-integration-dOpKM0jD74
  65. "http://www.xilinx.com/publications/archives/xcell/Xcell86.pdf" May 2014. Retrieved on June 3, 2014.
  66. "Xilinx Unveils Revolutionary Adaptable Computing Product Category". www.xilinx.com. Retrieved 2018-05-02.
  67. Cutress, Ian. "Xilinx Announces Project Everest: The 7nm FPGA SoC Hybrid". Retrieved 2018-05-02.
  68. Jan M. Rabaey, Digital Integrated Circuits, Fall 2001: Course Notes, Chapter 6: Designing Combinatorial Logic Gates in CMOS, retrieved October 27, 2012.
  69. Richard F. Tinder (January 2000). Engineering Digital Design. Academic Press. ISBN 978-0-12-691295-1.
  70. Asadi, P. (2007). "Energy-efficient 32 × 32-bit multiplier in tunable near-zero threshold CMOS" (PDF). World Applied Sciences Journal. 2 (4): 341–7. ISSN 1818-4952.
  71. 1 2 3 4 "IEEE Standard 100: The Authoritative Dictionary of IEEE Standards Terms" (7th ed.). 2000. doi:10.1109/IEEESTD.2000.322230. IEEE Std 100-2000.
  72. Intel: 35 Years of Innovation (1968–2003) Intel, 2003
  73. The DRAM memory of Robert Dennard history-computer.com
  74. NEC to build 64-Mbit DRAM line in U.S. (NEC Corp.; dynamic random access memory) Highbeam Business, October 24, 1994
  75. NEC, Samsung sampling 64-Mbit DRAMs Highbeam Business, April 17, 1995
  76. Alliance unwraps 256-Mbit DRAM. (IBM Corp, Toshiba Corp, Siemens AG) Highbeam Business, June 12, 1995
  77. International chip trio delivers memory jump. (Siemens AG, IBM Corp. and Toshiba Corp. plan to develop a 256-Mbit dynamic random access memory chip)(Tech Trends)(Brief Article) Highbeam Business, July 3, 1995
  78. Breaking the gigabit barrier, DRAMs at ISSCC portend major system-design impact. (dynamic random access memory; International Solid-State Circuits Conference; Hitachi Ltd. and NEC Corp. research and development) Highbeam Business, January 9, 1995
  79. 1 2 3 Smith, Kevin (August 11, 1983). "Image processor handles 256 pixels simultaneously". Electronics.
  80. "Cell chip: Hit or hype?" by Michael Kanellos 2005
This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.