RISC-V

RISC-V
Designer University of California, Berkeley
Bits 32, 64, 128
Introduced 2010
Version 2.2
Design RISC
Type Load-store
Encoding Variable
Branching Compare-and-branch
Endianness Little
Extensions M, A, F, D, Q, C, P
Open Yes
Registers
General purpose 16, 32 (including one always-zero register)
Floating point 32 (optional)
RISC-V processor prototype, January 2013

RISC-V (pronounced "risk-five") is an open instruction set architecture (ISA) based on established reduced instruction set computing (RISC) principles.

In contrast to most ISAs, the RISC-V ISA can be freely used for any purpose, permitting anyone to design, manufacture and sell RISC-V chips and software. While not the first open architecture[1] ISA, it is significant because it is designed to be useful in a wide range of devices. The instruction set also has a substantial body of supporting software, which avoids a usual weakness of new instruction sets.

The project began in 2010 at the University of California, Berkeley, but many contributors are volunteers and industry workers outside the university.[2]

The RISC-V ISA has been designed with small, fast, and low-power real-world implementations in mind,[3][4] but without over-architecting for a particular microarchitecture style.[4][5][6][7]

As of May 2017, version 2.2 of the userspace ISA is fixed and the privileged ISA is available as draft version 1.10.[4]

Significance

The RISC-V authors aim to provide several CPU designs freely available under a BSD license. Such licenses allow derivative works, such as RISC-V chip designs, to be either open and free, like RISC-V itself, or closed and proprietary.

By contrast, commercial chip vendors such as ARM Holdings and MIPS Technologies charge substantial license fees for the use of their patents.[8][9] They also require non-disclosure agreements before releasing documents that describe their designs' advantages and instruction set. The secrecy prevents security auditing.

Developing a CPU requires design expertise in several specialties: electronic logic, compilers, and operating systems. It is rare to find this outside of a professional engineering team. The result is that modern, high-quality general-purpose computer instruction sets have not recently been widely available anywhere, or even explained, except in academic settings. Because of this, many RISC-V contributors see it as a unified community effort. This need for a large base of contributors is part of the reason why RISC-V was engineered to fit so many uses.

The RISC-V authors also have substantial research and user-experience validating their designs in silicon and simulation. The RISC-V ISA is a direct development from a series of academic computer-design projects. It was originated in part to aid such projects.[4][10]

History

Predecessors

The term "RISC" dates from about 1980.[11] Before this, there was some knowledge that simpler computers could be effective, but the design principles were not widely described. Simple, effective computers have always been of academic interest.

Academics created the RISC instruction set DLX for the first edition of Computer Architecture: A Quantitative Approach in 1990. David Patterson was an author, and later assisted RISC-V. However DLX was for educational use. Academics and hobbyists implemented it using field-programmable gate arrays, but it was not a commercial success.

ARM CPUs, version 2 and earlier, had a public-domain instruction set, and it is still supported by GCC, a popular free software compiler. Three open-source cores exist for this ISA, but they have not been manufactured.[12][13]

OpenRISC is an open-source ISA based on DLX, with associated RISC designs. It is fully supported with GCC and Linux implementations. However, it has few commercial implementations.

Foundation

Krste Asanović at University of California, Berkeley, found many uses for an open-source computer system. In 2010 he decided to develop and publish one in a "short, three-month project over the summer". The plan was to help both academic and industrial users.[10] David Patterson at Berkeley also aided the effort. Patterson originally identified the properties of Berkeley RISC,[11] and RISC-V is one of his long series of cooperative RISC research projects. Early funding was from DARPA.[4]

A partial list of organizations that support the RISC-V Foundation includes: AMD,[14] Andes Technology,[15] BAE Systems, Berkeley Architecture Research, Bluespec, Inc., Cortus, Google, GreenWaves Technologies, Hewlett Packard Enterprise, Huawei, IBM, Imperas Software, ICT, IIT Madras, Lattice Semiconductor, Mellanox Technologies, Microsemi, Micron, Nvidia, NXP, Oracle, Qualcomm, Rambus Cryptography Research, Western Digital, and SiFive.[16][17]

Awards

  • 2017: The Linley Group's Analyst's Choice Award for Best Technology (for the instruction set)[18]

Motivating requirements

The designers claim that the instruction set is the main interface in a computer, because it lies between the hardware and the software. If a good instruction set was open, available for use by all, it should dramatically reduce the cost of software by permitting far more reuse. It should also increase competition among hardware providers, who can use more resources for design and less for software support.[10]

The designers assert that new principles are becoming rare in instruction set design, as the most successful designs of the last forty years have become increasingly similar. Of those that failed, most did so because their sponsoring companies failed commercially, not because the instruction sets were poor technically. So, a well-designed open instruction set designed using well-established principles should attract long-term support by many vendors.[10]

Most prior open ISAs used the GNU General Public License (GPL), encouraging users to open their implementations to copying and use by others.

Unlike other academic designs which are optimized only for simplicity of exposition, the designers state that the RISC-V instruction set is for practical computers. It is said to have features to increase computer speed, yet reduce cost and power use. These include a load/store architecture, bit patterns to simplify the multiplexers in a CPU, simplified standards-based floating-point, a design that is architecturally neutral, and placing most-significant bits at a fixed location to speed sign-extension. Sign extension is said to often be on the critical timing path.[4]

The instruction set is designed for a wide range of uses. It supports three word-widths, 32, 64, and 128 bits, and a variety of subsets. The definitions of each subset vary slightly for the three word-widths. The subsets support small embedded systems, personal computers, supercomputers with vector processors, and warehouse-scale rack-mounted parallel computers.

The instruction set is variable-width and extensible, so that more encoding bits can always be added. Space for the 128-bit stretched version of the ISA was reserved, because 60 years of industry experience has shown that the most unrecoverable error in instruction set design is a lack of memory address space. As of 2016, the 128-bit ISA remains undefined intentionally, because there is yet so little practical experience with such large memory systems.[4]

However, RISC-V also supports the designers' academic uses. The simplicity of the integer subset permits basic student exercises. The integer subset is a simple ISA enabling software to control research machines. The variable-length ISA enables extensions for both student exercises and research.[4] The separated privileged instruction set permits research in operating system support, without redesigning compilers.[19] RISC-V's open intellectual property allows its designs to be published, reused, and modified.[4]

Software

A normal problem for a new instruction set is a lack of CPU designs and software.

The RISC-V website has a specification for user-mode instructions, and a preliminary specification for a general-purpose privileged instruction set, to support operating systems.[20]

There are several open-sourced CPU designs, including the 64-bit Berkeley Out of Order Machine (BOOM),[21] 64-bit Rocket,[22] five 32-bit Sodor CPU designs from Berkeley,[23] picorv32 by Clifford Wolf, scr1 from Syntacore, PULPino (Riscy and Zero-Riscy) from ETH Zurich / University of Bologna[24], and others. The three-stage Sodor CPU appears apt for a small embedded CPU. Rocket may suit compact, low-power intermediate computers such as personal devices. BOOM utilizes much of the infrastructure created for Rocket, and may be usable for personal, supercomputer and warehouse-scale computers. Both picorv and scr1 are 32-bit MCU class RV32IMC implementations in Verilog. The cores in PULPino implement a simple RV32IMC ISA for micro-controllers (Zero-Riscy) or a more powerful RV32IMFC ISA with custom DSP extensions for embedded signal processing.

The design software includes a design compiler, Chisel,[25] which can reduce the designs to Verilog for use in devices. The website includes verification data for testing core implementations.

Available RISC-V software tools include a GNU Compiler Collection (GCC) toolchain (with GDB, the debugger), an LLVM toolchain, the OVPsim simulator (and library of RISC-V Fast Processor Models), the Spike simulator, and a simulator in QEMU.

Operating system support exists for the Linux kernel, FreeBSD, and NetBSD, but the supervisor-mode instructions are unstandardized as of 10 November 2016,[19] so this support is provisional. The preliminary FreeBSD port to the RISC-V architecture was upstreamed in February 2016, and shipped in FreeBSD 11.0.[26][27] Ports of Debian[28]and Fedora[29] are stabilizing. There is a port of U-Boot.[30] UEFI Spec v2.7 has defined the RISC-V binding and a tianocore port has been done by HPE engineers and is expected to be upstreamed. There is a preliminary port of the seL4 microkernel.[31][32] There is also a simulator to run a RISC-V Linux system on a web browser using JavaScript.

Adopters

Commercially available

  • Andes Technology Corporation is a founding member of the RISC-V Foundation,[33] joining the consortium in 2016, it released its first two RISC-V cores, the N25 and NX25 in 2017. The cores come with a complete design ecosystems and a number of RISC-V partners. Andes is actively driving the development of RISC-V ecosystem and expects to release several new RISC-V products in 2018.
  • SiFive began shipping a quad-core, 64-bit SoC and developer board capable of running Linux in March 2018.[34][35][36] SiFive has also developed an embedded Arduino-compatible SoC that became available in May 2017.[37][38]
  • Codasip and UltraSoC have developed fully supported intellectual property for RISC-V embedded SOCs that combine Codasip's RISC-V cores and other IP with UltraSoC's debug, optimization and analytics.[39]
  • Imperas has developed a family of fast processor models for the different subsets of RV32GC and RV64GC ISA variants that are part of the OVPsim instruction accurate simulator distributions used for embedded software development.
  • GreenWaves Technologies announced the availability of GAP8, a 32-bit 1 controller plus 8 compute cores, 32-bit SoC and developer board in February 2018. It is expected to begin shipment in April 2018.[40][41]
  • Hex Five announced general availability MultiZone Security - the first RISC-V Trusted Execution Environment utilizing the standard RISC-V ISA and privileged mode extensions.[42]

In development

Design

ISA base and extensions

RISC-V has a modular design, consisting of alternative base parts, with additional optional extensions. The ISA base and its extensions are developed in a collective effort between industry, the research community and educational institutions. The base specifies instructions (and their encoding), control flow, registers (and their sizes), memory and addressing, logic (i.e., integer) manipulation, and ancillaries. The base by itself can implement a simplified general-purpose computer, with full software support, including a general-purpose compiler.

The standard extensions are specified to work with all of the standard bases, and with each other without conflict.

Many RISC-V computers might implement the compact extension to reduce power consumption, code size, and memory usage.[4] There are also future plans for to support hypervisors, virtualization,[19] Together with a supervisor instruction set extension, S, an RVGC defines all instructions needed to conveniently support a Unix-style operating system.

Name Description Version Status[lower-alpha 1]
Base
RV32IBase Integer Instruction Set, 32-bit2.0Frozen
RV32EBase Integer Instruction Set (embedded), 32-bit, 16 registers1.9Open
RV64IBase Integer Instruction Set, 64-bit2.0Frozen
RV128IBase Integer Instruction Set, 128-bit1.7Open
Extension
MStandard Extension for Integer Multiplication and Division2.0Frozen
AStandard Extension for Atomic Instructions2.0Frozen
FStandard Extension for Single-Precision Floating-Point2.0Frozen
DStandard Extension for Double-Precision Floating-Point2.0Frozen
GShorthand for the base and above extensionsN/AN/A
QStandard Extension for Quad-Precision Floating-Point2.0Frozen
LStandard Extension for Decimal Floating-Point0.0Open
CStandard Extension for Compressed Instructions2.0Frozen
BStandard Extension for Bit Manipulation0.36Open
JStandard Extension for Dynamically Translated Languages0.0Open
TStandard Extension for Transactional Memory0.0Open
PStandard Extension for Packed-SIMD Instructions0.1Open
VStandard Extension for Vector Operations0.2Open
NStandard Extension for User-Level Interrupts1.1Open
  1. Frozen parts are expected to have their final feature set and to receive only clarifications before being ratified.

To tame the combinations of functionality that may be implemented, a nomenclature is defined to specify them.[4] The instruction set base is specified first, coding for RISC-V, the register bit-width, and the variant; e.g., RV64I or RV32E. Then follows letters specifying implemented extensions in canonical order (as above). The base, extended integer and floating point calculations, and synchronisation primitives for multi-core computing, the base and extensions MAFD, are considered to be necessary for general purpose computation, and thus have the shorthand, G.

A small 32-bit computer for an embedded system might be RV32EC. A large 64-bit computer might be RV64GC; i.e., shorthand for RV64IMAFDC.

A naming scheme with Zxxx for standard extensions and Yxxx for non-standard (vendor-specific) extensions has been proposed. For example, the Ztso extension for total store ordering, an alternative memory consistency model to weak memory ordering is under discussion.[52]

Register sets

RISC-V has 32 (or 16 in the embedded variant) integer registers, and, when the floating point extension is implemented, 32 floating-point registers. Except for memory access instructions, instructions address only registers.

The first integer register is a zero register, and the remainder are general purpose registers. A store to the zero register has no effect, and a read always provides 0. Using the zero register as a placeholder makes for a simpler instruction set. E.g., move rx to ry becomes add r0 to rx and store in ry .[4]

Control and status registers exist, but user-mode programs can access only those used for performance-measurement and floating point management.

There are no instructions to save and restore multiple registers. Those were thought to be unnecessary, too complex and perhaps too slow.[4]

Memory access

Like many RISC designs, RISC-V is a load/store architecture; instructions address only registers, with load and store instructions conveying to and from memory.[4]

Memory consists of and is addressed as 8-bit bytes, with words being in little-endian order.[4] Words, up to the register size, can be accessed with the load and store instructions.

Accessed memory addresses need not be aligned to their word-width, but accesses to aligned addresses will always be the fastest. As, for example, simple CPUs may implement unaligned accesses with slow software emulation driven from an alignment failure interrupt.[4]

RISC-V manages memory systems that are shared between CPUs or threads by ensuring a thread of execution always sees its memory operations in the programmed order. But between threads and I/O devices, RISC-V is simplified: It doesn't guarantee the order of memory operations, except by specific instructions, such as fence .

A fence instruction guarantees that the results of predecessor operations are visible to successor operations of other threads or I/O devices. fence can guarantee the order of combinations of both memory and memory-mapped I/O operations. E.g. it can separate memory read and write operations, without affecting I/O operations. Or, if a system can operate I/O devices in parallel with memory, fence doesn't force them to wait for each other. A single CPU with one thread may decode fence as nop .

Like many RISC instruction sets (and indeed some CISC instruction sets, such as x86 and IBM System/360 families), RISC-V lacks address-modes that write back to the registers. For example, it does not do auto-incrementing.[4]

RISC-V is little-endian to resemble other familiar, successful computers, for example, x86. This also reduces a CPU's complexity and costs slightly because it reads all sizes of words in the same order. For example, the RISC-V instruction set decodes starting at the lowest-addressed byte of the instruction. The specification leaves open the possibility of non-standard big-endian or bi-endian systems.[4]

Some RISC CPUs (such as MIPS, PowerPC, DLX, and Berkeley's RISC-I) place 16 bits of offset in the loads and stores. They set the upper 16 bits by a "load upper word" instruction. This permits upper-halfword values to be set easily, without shifting bits. However, most use of the upper half-word instruction makes 32-bit constants, like addresses. RISC-V uses a SPARC-like combination of 12-bit offsets and 20-bit "set upper" instructions. The smaller 12-bit offset helps compact, 32-bit load and store instructions select two of 32 registers yet still have enough bits to support RISC-V's variable-length instruction coding.[4]

Immediates


RISC-V handles 32-bit constants and addresses with instructions that set the upper 20 bits of a 32-bit register. Load upper immediate lui loads 20 bits into bits 31 through 12. Another instruction, auipc generates the same 20 upper address bits by adding an offset to the program counter and storing the result into a base register. This permits position-independent code to have 32-bit addresses relative to the program counter. The base register can be used as-is with the 12-bit offsets of the loads and stores. If needed, addi can set the lower 12-bits of a register. In 64-bit ISAs,lui and auipc sign-extend the result to 64 bits.[4]

Some fast CPUs may interpret combinations of instructions as single "fused" instructions. lui or auipc may be good candidates to fuse with loads or stores.

Subroutine calls, jumps, and branches

RISC-V's subroutine call jal (jump and link) places its return address in a register. This is faster in many computer designs, because it saves a memory access compared to systems that push a return address directly on a stack in memory. jal has a 20-bit signed (2's complement) offset. The offset is multiplied by 2, then added to the PC to generate a relative address to a 32-bit instruction. If the result is not at a 32-bit address (i.e. evenly divisible by 4), the CPU may force an exception.[4]

RISC-V CPUs jump to calculated addresses using a "jump and link-register", jalr instruction. jalr is similar to jal , but gets its destination address by adding a 12-bit offset to a base register. (In contrast,jal adds a larger 20-bit offset to the PC.)

jalr 's bit format is like the register-relative loads and stores. Like them, jalr can be used with the instructions which set the upper 20 bits of a base register in order to make 32-bit branches, either to an absolute address (using lui ) or a PC-relative one (using auipc for position-independent code). (Using a constant zero base address allows single-instruction calls to a small (the offset), fixed positive or negative address.)

RISC-V recycles jal and jalr to get unconditional 20-bit PC-relative jumps and unconditional register-based 12-bit jumps. Jumps just make the linkage register 0 so that no return address is saved.[4]

RISC-V also recycles jalr to return from a subroutine: To do this, jalr 's base register is set to be the linkage register saved by jal or jalr . jalr 's offset is zero and the linkage register is zero, so that there is no offset, and no return address is saved.

Like many RISC designs, in a subroutine call, a RISC-V compiler must use individual instructions to save registers to the stack at the start, and then restore these from the stack on exit. RISC-V has no "save multiple" or "restore multiple" register instructions. These were thought to make the CPU too complex, and possibly slow, as well.[53] This can take more code space. Designers planned to reduce code size with library routines to save and restore registers.[54]

RISC-V has no condition code register or carry bit. The designers believed that condition codes make fast CPUs more complex by forcing interactions between instructions in different stages of execution. This choice makes multiple-precision arithmetic more complex. Also, a few numerical tasks need more energy.[4]

Instead, RISC-V has short branches that perform comparisons: equal, not-equal, less-than, unsigned less-than, greater-than and unsigned greater-than. Ten comparison-branch operations are implemented with only six instructions, by reversing the order of operands in the assembler. For example, "branch if greater than" can be done by "less than or equal" with a reversed order of operands.[4]

The comparing branches have a twelve-bit signed range, and jump relative to the PC.[4]

RISC-V's ISA requires default branch predictions for CPUs: Backward conditional branches should be predicted "taken". Forward conditional branches predict "not taken". The predictions are easy to decode in a pipelined CPU: Branch addresses are signed numbers added to the PC. Backward branches have negative two's complement addresses, and therefore have a one in the most significant bit of the address. Forward branches have a zero. The most significant bit is in a fixed location in the operation code in order to speed up the pipeline. Complex CPUs can add branch predictors to work well even with unusual data or situations.

The ISA manual recommends that software be optimized to avoid branch stalls by using the default branch predictions. This reuses the most significant bit of the signed relative address as a "hint bit" to tell whether the conditional branch will be taken or not. So, no other hint bits are needed in the operation codes of RISC-V branches. This makes more bits available in the branch operation codes. Simple, inexpensive CPUs can merely follow the default predictions and still perform well with optimizing compilers. Compilers can still perform statistical path optimization, if desired.[4]

To avoid unnecessary loading of branch prediction electronics, (and therefore unnecessary pipeline stalls) the comparing branch codes should never be used for unconditional jumps.[4]

RISC-V does not support predication (the conditional execution of instructions) as its designers claim that CPUs without predication are easier to design, and optimizing compilers are less likely to mistakenly use predication where it should not be used. The designers claim that very fast, out-of-order CPU designs do predication anyway, by doing the comparison branch and conditional code in parallel, then discarding the unused path's effects. They also claim that even in simpler CPUs, predication is less valuable than branch prediction, which can prevent most stalls associated with conditional branches. Code without predication is larger, with more branches, but they also claim that a compressed instruction set (such as RISC-V's set "C") solves that problem in most cases.[4]

Many RISC designs have included a branch delay slot, a position after a branch instruction that can be filled with an instruction which is executed whether or not the branch is taken. This feature can improve the performance of pipelined CPUs by absorbing some of the time wasted if a CPU mispredicts the operation of a conditional branch, and the CPU's pipeline stalls. RISC-V omits a branch delay slot because it complicates multicycle CPUs, superscalar CPUs, and long pipelines. Dynamic branch predictors have succeeded well enough to reduce the need for delayed branches.[4]

Arithmetic and logic sets

RISC-V segregates math into a minimal set of integer instructions (set "I") with add, subtract, shift, bit-wise logic and comparing-branches. These can simulate most of the other RISC-V instruction sets with software. (The atomic instructions are a notable exception.) RISC-V currently lacks the "count leading zero" and bit-field operations normally used to speed software floating-point in a pure-integer processor.

The integer multiplication instructions (set "M") includes signed and unsigned multiply and divide. Double-precision integer multiplies and divides are included, as multiplies and divides that produce the "high word" of the result. The ISA document recommends that implementors of CPUs and compilers "fuse" a standardized sequence of high and low multiply and divide instructions to a single operation if possible. [4]

The floating-point instructions (set "F") includes single-precision arithmetic and also comparison-branches similar to the integer arithmetic. It requires an additional 32 floating-point registers. These are separate from the integer registers. The double-precision floating point instructions (set "D") generally assume that the floating-point registers are 64-bit (i.e. double-width), and the "F" subset is coordinated with the "D" set. A quad-precision 128-bit floating-point ISA ("Q") is also defined. RISC-V computers without floating-point can use a floating-point software library.[4]

RISC-V does not cause exceptions on arithmetic errors, including overflow, underflow, subnormal and divide by zero. Instead, both integer and floating-point arithmetic produce reasonable default values and set status bits. Divide-by-zero can be discovered by a single branch after the division. The status bits can be tested by an operating system or periodic interrupt.[4]

Atomic memory operations

RISC-V supports computers that share memory between multiple CPUs and threads. RISC-V's standard memory consistency model is release consistency. That is, loads and stores may generally be reordered, but some loads may be designated as acquire operations which must precede later memory accesses, and some stores may be designated as release operations which must follow earlier memory accesses.[4]

The base instruction set includes minimal support in the form of a fence instruction to enforce memory ordering. Although this is sufficient (fence r, rw provides "acquire" and fence rw, w provides "release"), combined operations can be more efficient.[4]

The atomic memory operation extension supports two types of atomic memory operations for release consistency. First, it provides general purpose "load-reserved" lr and "store-conditional" sc instructions. lr performs a load, and tries to reserve that address for its thread. A later store-conditional sc to the reserved address will be performed only if the reservation is not broken by an intervening store from another source. If the store succeeds, a zero is placed in a register. If it failed, a non-zero value indicates that software needs to retry the operation. In either case, the reservation is released.[4]

The second group of atomic instructions perform read-modify-write sequences: a load (which is optionally a load-acquire) to a destination register, then an operation between the loaded value and a source register, then a store of the result (which may optionally be a store-release). Making the memory barriers optional permits combining the operations. The optional operations are enabled by "acquire" and "release" bits which are present in every atomic instruction. RISC-V defines nine possible operations: swap (use source register value directly); add; bitwise and, or, and exclusive-or; and signed and unsigned minimum and maximum.[4]

A system design may optimize these combined operations more than lr and sc . For example, if the destination register for a swap is the constant zero, the load may be skipped. If the value stored is unmodified since the load, the store may be skipped.[4]

The IBM System/370 and its successors including z/Architecture, and x86, both implement a compare-and-swap (cas ) instruction, which tests and conditionally updates a location in memory: if the location contains an expected old value, cas replaces it with a given new value; it then returns an indication of whether it made the change. However, a simple load-type instruction is usually performed before the cas to fetch the old value. The classic problem is that if a thread reads (loads) a value "A", calculates a new value "C", and then uses (cas ) to replace "A" with "C", it has no way to know whether concurrent activity in another thread has replaced "A" with some other value "B" and then restored the "A" in between. In some algorithms (e.g., ones in which the values in memory are pointers to dynamically allocated blocks), this ABA problem can lead to incorrect results. The most common solution employs a "double-wide cas " instruction to update both the pointer and an adjacent counter; unfortunately, such an instruction requires a special instruction format to specify multiple registers, performs several reads and writes, and can have complex bus operation.[4]

The lr /sc alternative is more efficient. It usually requires only one memory load, and minimizing slow memory operations is desirable. It's also exact: it controls all accesses to the memory cell, rather than just assuring a bit pattern. However, unlike cas , it can permit livelock, in which two or more threads repeatedly cause each other's instructions to fail. RISC-V guarantees forward progress (no livelock) if the code follows rules on the timing and sequence of instructions: 1) It must use only the "I" subset. 2) To prevent repetitive cache misses, the code (including the retry loop) must occupy no more than 16 consecutive instructions. 3) It must not include any system or fence instructions, or taken backward branches between the lr and sc . 4) The backward branch to the retry loop must be to the original sequence.[4]

The specification gives examples of how to use this subset to lock a data structure.[4]

Compressed subset

The standard RISC-V ISA specifies that all instructions are 32 bits. This makes for a particularly simple implementation, but like other RISC processors with such an instruction encoding, results in larger code size than in other instruction sets.[4][53] To compensate, RISC-V's "32-bit" instructions are actually 30 bits; 34 of the opcode space is reserved for an optional (but recommended) variable-length "compressed" instruction set, RVC, that includes 16-bit instructions. Like ARM's Thumb and the MIPS16, the compressed instructions are simply aliases for a subset of the larger instructions. Unlike ARM's Thumb or the MIPS compressed set, space was reserved from the beginning so there is no separate operating mode. Standard and compressed instructions may be intermixed freely.[4][53] (letter "C")[54]

Because (like Thumb-1 and MIPS16) the compressed instructions are simply alternate encodings (aliases) for a selected subset of larger instructions, the compression can be implemented in the assembler, and it is not essential for the compiler to even know about it.

A prototype of RVC was tested in 2011.[53] The prototype code was 20% smaller than an x86 PC and MIPS compressed code, and 2% larger than ARM Thumb-2 code.[53] It also substantially reduced both the needed cache memory and the estimated power usage of the memory system.[53]

The researcher intended to reduce the code's binary size for small computers, especially embedded computer systems. The prototype included 33 of the most frequently used instructions, recoded as compact 16-bit formats using operation codes previously reserved for the compressed set.[53] The compression was done in the assembler, with no changes to the compiler. Compressed instructions omitted fields that are often zero, used small immediate values or accessed subsets (16 or 8) of the registers. addi is very common and often compressible.[53]

Much of the difference in size compared to Arm's Thumb set occurred because RISC-V, and the prototype, have no instructions to save and restore multiple registers. Instead, the compiler generated conventional instructions that access the stack. The prototype RVC assembler then often converted these to compressed forms that were half the size. However, this still took more code space than the ARM instructions that save and restore multiple registers. The researcher proposed to modify the compiler to call library routines to save and restore registers. These routines would tend to remain in a code cache and therefore run fast, though probably not as fast as a save-multiple instruction.[53]

Embedded subset

An instruction set for the smallest "embedded" CPUs (set "E") is reduced in other ways: Only 16 of the 32-bit integer registers are supported. Floating-point instructions should not be supported (the specification forbids it as uneconomical), so a floating-point software library must be used.[4] The compressed set "C" is recommended. The privileged instruction set supports only machine mode, user mode and memory schemes that use base-and-bound address relocation.[19]

There is also discussion of a microcontroller profile for RISC-V, to ease development of deeply embedded systems. It centers on faster, simple C-language support for interrupts, simplified security modes and a simplified POSIX application binary interface.[55]

Correspondents have also proposed smaller, non-standard, 16-bit "RV16E" ISAs: One would use 16 × 16-bit integer registers, using the standard "EIMC" ISAs (including 32-bit instructions.)[56] Another proposal would only use the 16-bit "C" instructions with 8 × 16-bit registers. A full RV16EG was said to be possible with a completely recoded ISA.[57]

Privileged instruction set

The privileged instruction set specification of RISC-V is currently (July 2017) preliminary.[19]

RISC-V's ISA includes a separate privileged instruction set specification.

Version 1.10 of the specification supports several types of computer systems:

  1. Systems that have only "machine mode", perhaps for embedded systems,
  2. Systems with both machine mode (for the supervisor) and user-mode, perhaps to implement Linux.
  3. Systems with machine-mode, hypervisors, multiple supervisors, and user-modes under each supervisor.

These correspond roughly to systems with up to four "rings" of privilege and security, at most: machine, hypervisor, supervisor and user. Each layer also is expected to have a thin layer of standardized supporting software that communicates to a more-privileged layer, or hardware.[19]

The overall plan for this ISA is to make the hypervisor mode orthogonal to the user and supervisor modes.[58] The basic feature is a configuration bit that either permits supervisor-level code to access hypervisor registers, or causes an interrupt on accesses. This bit lets supervisor mode directly handle the hardware needed by a hypervisor. This simplifies a type 2 hypervisor, hosted by an operating system. This is a popular mode to run warehouse-scale computers. To support type 1, unhosted hypervisors, the bit can cause these accesses to interrupt to a hypervisor. The bit simplifies nesting of hypervisors, in which a hypervisor runs under a hypervisor. It's also said to simplify supervisor code by letting the kernel use its own hypervisor features with its own kernel code. As a result, the hypervisor form of the ISA supports five modes: machine, supervisor, user, supervisor-under-hypervisor and user-under-hypervisor.

The privileged instruction set specification explicitly defines "hardware threads", or "harts". Multiple hardware threads are a common practice in larger, more powerful computers. When one thread is stalled, waiting for memory, others can often proceed. Hardware threads can help make better use of the large number of registers and execution units in large CPUs. Finally, hardware threads can be a simple, powerful way to handle interrupts: No saving or restoring of registers is required, simply executing a different hardware thread. The only hardware thread required in a RISC-V computer is thread zero.[19]

The existing control and status register definitions support RISC-V's error and memory exceptions, and a small number of interrupts. For larger systems, the specification also defines an interrupt controller. Interrupts always start at the highest-privileged machine level, and the control registers of each level have explicit "forwarding" bits to route interrupts to less-privileged code. For example, the hypervisor need not include software that executes on each interrupt to forward an interrupt to an operating system. Instead, on set-up, it can set bits to forward the interrupt.[19]

Several memory systems are supported in the specification. Physical-only is suited to the smallest embedded systems. There are also three UNIX-style virtual memory systems for memory cached in mass-storage systems. The virtual memory systems have three sizes, with addresses sized 32, 39 and 48-bits. All virtual memory systems support 4 KiB pages, multilevel page-table trees and use very similar algorithms to walk the page table trees. All are designed for either hardware or software page-table walking. To optionally reduce the cost of page table walks, super-sized pages may be leaf pages in higher levels of a system's page table tree. SV32 has a two layer page table tree and supports 4 MiB superpages. SV39 has a three level page table, and supports 2 MiB superpages and 1 GiB gigapages. SV48 is required to support SV39. It also has a 4-level page table and supports 2 MiB superpages, 1 GiB gigapages, and 1 TiB terapages. Superpages are aligned on the page boundaries for the next-lowest size of page.[19]

Bit manipulation

Substantial work was done to produce a preliminary, though unapproved, bit manipulation ("B") ISA for RISC-V. Done well, a bit manipulation subset can aid cryptographic, graphic and mathematical operations. The criteria for inclusion documented in the draft were compliance with RV5 philosophies and ISA formats, substantial improvements in code density or speed (i.e. at least a 3-for-1 reduction in instructions), and substantial real-world applications, including preexisting compiler support. Version 0.36 included[59] uncontroversial instructions to count leading zeros, count one bits, perform 'and' with complement, shift ones, rotate, a generalized bit-reverse and shuffle, byte swaps, bit extracts and deposits, and some bit manipulation additions for the compressed set (not, neg and reverse). It also includes a controversial proposal for bit-field extract and place, using a nonstandard 48-bit instruction format.

Packed SIMD

For simple, cost-reduced RISC-V systems, there is a proposal to use the floating-point registers' bits to perform parallel SIMD sub-word arithmetic. This is widely used to accelerate multimedia and other digital signal processing.[4] This ISA is (as of 2016) undefined, but could resemble PA-RISC's multimedia instructions. Besides its native 64-bit math, the PA-RISC MAX2 CPU could do arithmetic on four 16-bit subwords at a time, with several overflow methods. It also could move subwords to different positions. PA-RISC's MAX2 was intentionally simplified. It lacked support for 8-bit or 32-bit subwords. The 16-bit subword size was chosen to support most digital signal processing tasks. These instructions were inexpensive to design and build. However, they increased the CPU's performance on digital signal processing tasks by 48-fold or more, enabling practical real-time video codecs in 1995.[60][61]

Vector set

The proposed vector processing instruction set may make the packed SIMD set obsolete. The designers hope to have enough flexibility so that a CPU can implement vector instructions in a standard processor's registers. This would enable minimal implementations with similar performance to a multimedia ISA, (as above.) However, a true vector coprocessor could execute the same code with higher performance.[62]

The vector processing proposal is currently (29 June 2015) a conservative, flexible design of a general-purpose mixed-precision vector processor (suitable for executing compute kernels). Code would port easily to CPUs with differing vector lengths, ideally without recompiling.[62]

In contrast, short-vector SIMD extensions are less convenient. These are used in x86, ARM and PA-RISC. In these, a change in word-width forces a change to the instruction set to expand the vector registers (in the case of x86, from 64-bit MMX registers to 128-bit SSE, to 256-bit AVX, and AVX-512). The result is not only a growing instruction set, but also a need to port working code to the new instructions.

In the RISC-V vector ISA, rather than fix the vector length in the architecture, an instruction (setvl ) is available which takes a requested size and sets the vector length to the minimum of the hardware limit and the requested size. So, the RISC-V proposal is more like a Cray's long-vector design. That is, each vector in up to 32 vectors is the same length.[62]

The application specifies the total vector width it requires, and the processor determines the vector length it can provide with available on-chip resources. This takes the form of an instruction (vsetcfg ) with four immediate operands, specifying the number of vector registers of each available width needed. The total must be no more than the addressable limit of 32, but may be less if the application does not require them all. The vector length is limited by the available on-chip storage divided by the number of bytes of storage needed for each entry. (Added hardware limits may also exist, which in turn may permit SIMD-style implementations.)[62]

Outside of vector loops, the application can request zero vector registers, saving the operating system the work of preserving them on context switches.[62]

The vector length is not only architecturally variable, but designed to vary at run time also. To achieve this flexibility, the instruction set is likely to use variable-width data paths and variable-type operations using polymorphic overloading.[62] The plan is that these can reduce the size and complexity of the ISA and compiler.[62]

Recent experimental vector processors with variable-width data paths also show profitable increases in operations per: second (speed), area (lower cost), and watt (longer battery life).[63]

Unlike a typical modern graphics processing unit, there are no plans to provide special hardware to support branch predication. Instead, lower cost compiler-based predication will be used.[62][64]

External debug system

There is a preliminary specification for RISC-V's hardware-assisted debugger. The debugger will use a transport system such as Joint Test Action Group (JTAG) or Universal Serial Bus (USB) to access debug registers. A standard hardware debug interface may support either a "standardized abstract interface" or "instruction feeding".[65][66]

The exact form of the "abstract interface" remains undefined (January 2017), but proposals include a memory mapped system with standardized addresses for the registers of debug devices or a command register and a data register accessible to the communication system.[65] Correspondents claim that similar systems are used by Freescale's BDM for some CPUs, ARM, OpenRISC and Aeroflex's LEON.[65]

In "instruction feeding", the CPU will process a debug exception to execute individual instructions written to a register. This may be supplemented with a data-passing register and a module to directly access the memory. Instruction feeding lets the debugger access the computer exactly as software would. It also minimizes changes in the CPU, and adapts to many types of CPU. This was said to be especially apt for RISC-V because RISC-V is explicitly designed for many types of computers. The data-passing register allows a debugger to write a data-movement loop to RAM, and then execute the loop to move data into or out of the computer at a speed near the maximum speed of the debug system's data channel.[65] Correspondents claim that similar systems are used by MIPs, Intel Quark, Tensilica's Xtensa and for Freescale Power CPUs' BDM.[65]

See also

References

  1. Patterson, David A.; Waterman, Andrew. The RISC-V Reader: An Open Architecture Atlas (1st ed.). Strawberry Canyon. ISBN 978-0999249109. )
  2. "Contributors". riscv.org. Regents of the University of California. Retrieved 25 August 2014.
  3. "Rocket Core Generator". RISC-V. Regents of the University of California. Retrieved 1 October 2014.
  4. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 Waterman, Andrew; Asanović, Krste. "The RISC-V Instruction Set Manual, Volume I: Base User-Level ISA version 2.2". University of California, Berkeley. EECS-2016-118. Retrieved 25 May 2017.
  5. Celio, Christopher; Love, Eric. "ucb-bar/riscv-sodor". GitHub Inc. Regents of the University of California. Retrieved 12 February 2015.
  6. 1 2 "SHAKTI Processor Project". Indian Institute of Technology Madras. Retrieved 15 September 2014.
  7. Celio, Christopher. "CS 152 Laboratory Exercise 3" (PDF). UC Berkeley. Regents of the University of California. Retrieved 12 February 2015.
  8. Chuck Demerjian (August 7, 2013). "A long look at how ARM licenses chips: Part 1". SemiAccurate.
  9. Chuck Demerjian (August 8, 2013). "How ARM licenses its IP for production: Part 2". SemiAccurate.
  10. 1 2 3 4 Asanovic, Krste. "Instruction Sets Should be Free" (PDF). U.C. Berkeley Technical Reports. Regents of the University of California. Retrieved 15 November 2016.
  11. 1 2 Patterson, David A; Ditzel, David R. (October 1980). "The Case for the Reduced Instruction Set Computer". ACM SIGARCH Computer Architecture News. 8 (6): 25. doi:10.1145/641914.641917.
  12. "Amber ARM-compatible core". OpenCores. OpenCores. Retrieved 26 August 2014.
  13. "ARM4U". OpenCores. OpenCores. Retrieved 26 August 2014.
  14. Finley, Klint (21 March 2018). "Turing Prize Winners Paved Way to Smartphone Chips". Wired.com.
  15. "AndeStar Architecture". Andes Technology. Andes is a founding member of the RISC-V Foundation
  16. Merritt, Rick. "Google, Oracle and HP Join RISC-V". EE Times. UBM. Retrieved 11 February 2016.
  17. "Members at a Glance". riscv.org. Retrieved January 2, 2018.
  18. "The Linley Group Announces Winners of Annual Analysts' Choice Awards" (Press release). The Linley Group. 12 January 2017. Retrieved 21 January 2018.
  19. 1 2 3 4 5 6 7 8 9 Waterman, Andrew; Lee, Yunsup; Avizienas, Rimas; Patterson, David; Asanovic, Krste. "Draft Privileged ISA Specification 1.9". RISC-V. RISC-V Foundation. Retrieved 30 August 2016.
  20. RISC-V Foundation. "RISC-V The Free and Open Instruction Set". The RISC-V Foundation's Web Site. The RISC-V Foundation. Retrieved 11 November 2016.
  21. Celio, Christopher. "riscv-boom". GitHub. Regents of the University of California. Retrieved 11 November 2016.
  22. Asanovic, Krste; et al. "rocket-chip". GitHub. The RISC-V Foundation. Retrieved 11 November 2016.
  23. Celio, Christopher. "riscv-sodor". GitHub. Regents of the University of California. Retrieved 11 November 2016.
  24. Traber, Andreas; et al. "PULP:Parallel Ultra Low Power". ETH Zurich, University of Bologna. Retrieved 5 August 2016.
  25. "Chisel: Constructing Hardware in a Scala Embedded Language". UC Berkeley. Regents of the University of California. Retrieved 12 February 2015.
  26. "FreeBSD Wiki: RISC-V".
  27. 1 2 "FreeBSD Foundation: Initial FreeBSD RISC-V Architecture Port Committed".
  28. Montezelo, Manuel. "Debian GNU/Linux port for RISC-V 64". Google Groups. Google. Retrieved 19 July 2018.
  29. "Architectures/RISC-V". Fedora WIKI. Red Hat. Retrieved 26 September 2016.
  30. Begari, Padmarao. "U-Boot port on RISC-V 32-bit is available". Google Groups. Microsemi. Retrieved 15 February 2017.
  31. Almatary, Hesham. "RISC-V, seL4". seL4 Documentation. CSIRO. Retrieved 13 July 2018.
  32. Almatary, Hesham. "heshamelmatary". GitHub. GitHub. Retrieved 13 July 2018.
  33. "Andes Technology". RISC-V Foundation. Retrieved 2018-07-10.
  34. "HiFive Unleashed". www.sifive.com. Retrieved 2018-07-26.
  35. "SiFive Introduces RISC-V Linux-Capable Multicore Processor". Hackaday. 2018-02-04. Retrieved 2018-02-05.
  36. "SiFive Introduces HiFive Unleashed RISC-V Linux Development Board (Crowdfunding)". www.cnx-software.com. Retrieved 2018-02-05.
  37. "HiFive1". Retrieved 2018-07-10.
  38. SiFIVE. "Hi-FIVE1: Open-source Arduino-Compatible Development Kit". CROWDSUPPLY. crowdsupply.com. Retrieved 2 December 2016.
  39. Manners, David. "Codasip and UltraSoC Combine on RISC-V". Electronics Weekly. Metropolis International Group, Ltd. Retrieved 23 November 2016.
  40. "GreenWaves GAP8 is a Low Power RISC-V IoT Processor Optimized for Artificial Intelligence Applications". www.cnx-software.com. Retrieved 2018-03-04.
  41. Yoshida, Junko (2018-02-26). "AI Comes to Sensing Devices". EE Times. Retrieved 2018-07-10.
  42. "Hex Five Security Adds MultiZone Trusted Execution Environment to the SiFive Software Ecosystem". Hex Five Security. Retrieved 2018-09-13.
  43. "IIT Madras Open Source Processor Project". Rapid IO. IIT Madras. Retrieved 13 September 2014.
  44. Xie, Joe (July 2016). NVIDIA RISC V Evaluation Story. 4th RISC-V Workshop. Youtube.
  45. Ashenden, Peter (9 November 2016). "Re: [isa-dev] RISC V ISA for embedded systems". RISC-V ISA Dev (Mailing list). Google. Retrieved 10 November 2016. At ASTC (www.astc-design.com), we have an implementation of RV32EC as a synthesizable IP core intended for small embedded applications, such as smart sensors and IoT.
  46. Oloffsson, Andreas. "Why I will be using RISC-V in my next chip". Adapteva Blog. Adapteva. Retrieved 11 February 2016.
  47. "lowRISC website". Retrieved 10 May 2015.
  48. "PULPino Github project". Retrieved 2 February 2018.
  49. "PULP Platform". Retrieved 2 February 2018.
  50. "Western Digital To Accelerate The Future Of Next-Generation Computing Architectures For Big Data And Fast Data Environments". Western Digital. 2017-11-28.
  51. "Esperanto exits stealth mode, aims at AI with a 4,096-core 7nm RISC-V monster". wikichip.org. Retrieved 2 January 2018.
  52. Lustig, Dan. "Memory Consistency Model Status Update". Youtube. RISC-V Foundation. Retrieved 4 January 2018.
  53. 1 2 3 4 5 6 7 8 9 Waterman, Andrew (13 May 2011). Improving Energy Efficiency and Reducing Code Size with RISC-V Compressed. U.C. Berkeley: Regents of the University of California. p. 32. Retrieved 25 August 2014.
  54. 1 2 Waterman, Andrew; et al. "The RISC-V Compressed Instruction Set Manual Version 1.9 (draft)" (PDF). RISC-V. Retrieved 18 July 2016.
  55. Ionescu, Liviu. "The RISC-V Microcontroller Profile". Github. Githib. Retrieved 5 April 2018.
  56. Barros, Cesar. "Proposal: RV16E". Google Groups, RISC-V ISA Dev. Google. Retrieved 2 April 2018.
  57. Brussee, Rogier. "Proposal: Xcondensed, [a] ... Compact ...16 bit standalone G-ISA". RISC-V ISA Mail Server. Google Groups. Retrieved 10 November 2016.
  58. Bonzini, Paolo; Waterman, Andrew. "Proposal for Virtualization without H mode". Google Groups, RISC-V ISA Dev. RISC-V Foundation. Retrieved 24 February 2017.
  59. Wolf, Clifford. "Bit Manipulation for RISC-V, Draft" (PDF). Github. Clifford Wolf.
  60. Lee, Ruby; Huck, Jerry (February 25, 1996). "64-bit and Multimedia Extensions in the PA-RISC 2.0 Architecture". Proceedings of Compcon 96: 152–160. Retrieved 21 September 2014.
  61. Lee, Ruby B. (April 1995). "Accelerating Multimedia with Enhanced Microprocessors" (PDF). IEEE Micro. 15 (2): 22–32. doi:10.1109/40.372347. Retrieved 21 September 2014.
  62. 1 2 3 4 5 6 7 8 Schmidt, Colin; Ou, Albert; Lee, Yunsup; Asanovic, Krste. "RISC-V Vector Extension Proposal" (PDF). RISC-V. Regents of the University of California. Retrieved 14 March 2016.
  63. Ou, Albert; Nguyen, Quan; Lee, Yunsup; Asanovic, Krste. "A Case for MVPs: Mixed-Precision Vector Processors" (PDF). UC Berkeley EECS. Regents of the University of California. Retrieved 14 March 2016.
  64. Lee, Yunsup; Grover, Vinod; Krashinsky, Ronny; Stephenson, Mark; Keckler, Stephen W.; Asanovic, Krste. "Exploring the Design Space of SPMD Divergence Management on Data-Parallel Architectures" (PDF). Berkeley's EECS Site. Regents of the University of California. Retrieved 14 March 2016.
  65. 1 2 3 4 5 Bradbury, Alex; Wallentowitz, Stefan. "RISC-V Run Control Debug". Google Docs. RISC-V Foundation. Retrieved 20 January 2017.
  66. Newsome, Tim. "RISC-V Debug Group>poll results". Google Groups, RISC-V Debug Group. RISC-V Foundation. Retrieved 20 January 2017.

Further reading

  • The RISC-V Instruction Set Manual
  • Asanović, Krste; Patterson, David A. (6 August 2014). "Instruction Sets Should Be Free: The Case For RISC-V". EECS Department, University of California, Berkeley. UCB/EECS-2014-146.
  • The RISC-V Instruction Set HotChips 25 (2013)
  • The RISC-V Software Ecosystem HPCA 2015, Tutorial
  • RISC-V “Rocket Chip” SoC Generator in Chisel HPCA 2015, Tutorial
  • The RISC-V Compressed Instruction Set Manual Version 1.9 (draft)
  • Official website Edit this at Wikidata
  • "RISC-V: An Open Standard for SoCs. The case for an open ISA". EETimes. 8 July 2014.
  • Hruska, Joel (21 August 2014). "RISC rides again: New RISC-V architecture hopes to battle ARM and x86 by being totally open source". ExtremeTech.
  • "Analyzing the RISC-V Instruction Set Architecture". Adapteva. 11 August 2014.
  • "search: RISC-V since 2013". Google Scholar.
This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.