Zen (microarchitecture)

AMD Zen
Logo for the Zen microarchitecture
Produced Q1 2017[1]
Designed by AMD
Common manufacturer(s)
Instruction set AMD64 (x86-64)
CPUID code Family 17h
Cores
  • 2–4 (essential)
  • 4–8 (mainstream)
  • 8–16 (enthusiast)[1][4][5][6]
  • Up to 32 (server)[1][7]
L1 cache 64 KiB instruction, 32 KiB data per core
L2 cache 512 KiB per core
L3 cache 8 MiB per quad-core CCX
Created 2012–2017
Transistors 14 nm (FinFET)[2]
Socket(s)
Predecessor Excavator (4th gen)
Successor Zen+
Product code name(s)
  • Summit Ridge (Desktop)
  • Whitehaven (HEDT)
  • Raven Ridge (APU/Embedded)
  • Naples (Server CPU)
  • Snowy Owl (Server APU)[9]
Brand name(s)
A highly simplified illustration of the Zen microarchitecture: a core has a total of 512 KiB of L2 cache.

Zen is the codename for a computer processor microarchitecture from AMD, and was first used with their Ryzen series of CPUs in February 2017.[1][10] The first Zen-based preview system was demonstrated at E3 2016, and first substantially detailed at an event hosted a block away from the Intel Developer Forum 2016. The first Zen-based CPUs codenamed "Summit Ridge" reached the market in early March 2017, Zen-derived Epyc server processors launched in June 2017[11] and Zen-based APUs arrived in November 2017.[12]

Zen is a clean sheet design that differs from the long-standing Bulldozer architecture. Zen-based processors use a 14 nm FinFET process, are reportedly more energy efficient, and can execute significantly more instructions per cycle. SMT has been introduced, allowing each core to run two threads. The cache system has also been redesigned, making the L1 cache write-back. Zen processors use three different sockets: desktop and mobile Ryzen chips use the AM4 socket, bringing DDR4 support; the high-end desktop Zen-based Threadripper chips support quad-channel DDR4 RAM and offer 64 PCIe 3.0 lanes (vs 24 lanes), using the TR4 socket;[13][14] and Epyc server processors offer 128 PCI 3.0 lanes and octal-channel DDR4 using the SP3 socket.

Zen is based on a SoC design.[15] The memory, PCIe, SATA, and USB controllers are incorporated into the same chip as the processor cores. This has advantages in bandwidth and power, at the expense of chip complexity and die area.[16] This SoC design allows the Zen microarchitecture to scale from laptops and small-form factor mini PCs to high-end desktops and servers.

Design

According to AMD, the main focus of Zen is on increasing per-core performance.[17][18][19] New or improved features include[20]:

  • The L1 cache has been changed from write-through to write-back, allowing for lower latency and higher bandwidth.
  • SMT (simultaneous multithreading) architecture allows for two threads per core, a departure from the CMT (clustered multi-thread) design used in the previous Bulldozer architecture. This is a feature previously offered in some IBM, Intel and Oracle processors.[21]
  • A fundamental building block for all Zen-based CPUs is the Core Complex (CCX) consisting of four cores and their associated caches. Processors with more than four cores consist of multiple CCXs connected by Infinity Fabric.[22]
  • Four ALUs, two AGUs/load–store units, and two floating-point units per core.[23]
  • Newly introduced "large" micro-operation cache.[24]
  • Each SMT core can dispatch up to six micro-ops per cycle (a combination of 6 integer micro-ops and 4 floating point micro-ops per cycle).[25][26]
  • Close to 2× faster L1 and L2 bandwidth, with total L3 cache bandwidth up 5×.
  • Clock gating.
  • Larger retire, load, and store queues.
  • Improved branch prediction using a hashed perceptron system with Indirect Target Array similar to the Bobcat microarchitecture,[27] something that has been compared to a neural network by AMD engineer Mike Clark.[28]
  • The branch predictor is decoupled from the fetch stage.
  • A dedicated stack engine for modifying the stack pointer, similar to that of Intel Haswell and Broadwell processors.[29]
  • Move elimination, a method that reduces physical data movement to reduce power consumption.
  • RDSEED support, a set of high-performance hardware random number generator instructions introduced in Intel's Broadwell microarchitecture.[30]
  • Support for the SMAP, SMEP, XSAVEC/XSAVES/XRSTORS, XSAVES, CLFLUSHOPT, and CLZERO instructions.[30]
  • AVX2 support.
  • ADX support.
  • SHA support.
  • PTE (page table entry) coalescing, which combines 4 kiB page tables into 32 kiB page size.
  • "Pure Power" (more accurate power monitoring sensors).[31]
  • Smart Prefetch.
  • Precision Boost.
  • eXtended Frequency Range (XFR), an automated overclocking feature which boosts clock speeds beyond the advertised turbo frequency.[32]

The Zen architecture is built on a 14 nanometer FinFET process subcontracted to GlobalFoundries,[34] giving greater efficiency than the 32 nm and 28 nm processes of previous AMD FX CPUs and AMD APUs, respectively.[35] The "Summit Ridge" Zen family of CPUs use the AM4 socket and feature DDR4 support and a 95 W TDP (thermal design power).[35] While newer roadmaps don't confirm the TDP for desktop products, they suggest a range for low-power mobile products with up to two Zen cores from 5 to 15 W and 15 to 35 W for performance-oriented mobile products with up to four Zen cores.[36]

Each Zen core can decode four instructions per clock cycle and includes a micro-op cache which feeds two schedulers, one each for the integer and floating point segments.[37][38] Each core has two address generation units, four integer units, and four floating point units. Two of the floating point units are adders, and two are multiply-adders. However, using multiply-add-operations may prevent simultaneous add operation in one of the adder units.[39] There are also improvements in the branch predictor. The L1 cache size is 64 KiB for instructions per core and 32 KiB for data per core. The L2 cache size 512 KiB per core, and the L3 is 1–2 MB per core. L3 caches offer 5× the bandwidth of previous AMD designs.

History and development

AMD began planning the Zen microarchitecture shortly after re-hiring Jim Keller in August 2012.[40] AMD formally revealed Zen in 2015.

The team in charge of Zen was led by Keller (who left in September 2015 after a 3-year tenure)[41] and AMD Senior Fellow and Chief Architect Michael Clark.[42][43][44]

Zen was originally planned for 2017 following the ARM64-based K12 sister core, but on AMD's 2015 Financial Analyst Day it was revealed that K12 was delayed in favor of the Zen design, to allow it to enter the market within the 2016 timeframe,[8] with the release of the first Zen-based processors expected for October 2016.[45]

In November 2015, a source inside AMD reported that Zen microprocessors had been tested and "met all expectations" with "no significant bottlenecks found".[2][46]

In December 2015, it was rumored that Samsung may be contracted as a fabricator for AMD's 14 nm FinFET processors, including both Zen and AMD's then-upcoming Polaris GPU architecture.[47] This was clarified by AMD's July 2016 announcement that products had been successfully produced on Samsung's 14 nm FinFET process.[48] AMD stated Samsung would be used "if needed", arguing this would reduce risk for AMD by decreasing dependence on any one foundry.

Advantages over predecessors

Zen's from-scratch design is notably different from its predecessors, with many different types of changes and enhancements being made across the board in hopes of making Zen more competitive with Intel's architectures, and the software most often built with Intel's processor features in mind.

Manufacturing process

Processors based on Zen use 14 nm FinFET silicon.[49] These processors are being produced at GlobalFoundries,[50] though reports state some Zen processors may also be produced at TSMC.[51] Prior to Zen, AMD's smallest process size was 28 nm, as utilized by their Steamroller and Excavator microarchitectures.[52][53] The immediate competition, Intel's Skylake and Kaby Lake microarchitecture, are also fabricated on 14 nm FinFET;[54] though Intel planned to begin the release of 10 nm parts later in 2017.[55] In comparison to Intel's 14 nm FinFET, AMD claimed in February 2017 the Zen cores would be 10% smaller.[56] Intel has later announced in July 2018 that 10nm mainstream processors should not be expected before the second half of 2019.[57]

For identical designs, these die shrinks would use less current (and power) at the same frequency (or voltage). As CPUs are usually power limited (typically up to ~125 W, or ~45 W for mobile), smaller transistors allow for either lower power at the same frequency, or higher frequency at the same power.[58]

Performance

One of Zen's major goals is to focus on performance per-core, and it is targeting a 40% improvement in instructions per cycle (IPC) over its predecessor.[59] Excavator, in comparison, offered 4–15% improvement over previous architectures.[60][61] AMD announced the final Zen microarchitecture actually achieved 52% improvement in IPC over Excavator.[62] The inclusion of SMT also allows each core to process up to two threads, increasing processing throughput by better use of available resources.

The Zen processors also employ sensors across the chip to dynamically scale frequency and voltage.[63] This allows for the maximum frequency to be dynamically and automatically defined by the processor itself based upon available cooling.

AMD has demonstrated an 8-core/16-thread Zen processor outperforming an equally-clocked Intel Broadwell-E processor in Blender rendering[1][9] and HandBrake benchmarks.[63]

Zen supports AVX2 but it requires two clock cycles to complete each AVX2 instruction compared to Intel's one.[64][65]

Memory

Zen supports DDR4 memory (up to eight channels)[66] and ECC.[67]

Pre-release reports stated APUs using the Zen architecture would also support High Bandwidth Memory (HBM).[68] However, the first demonstrated APU did not use HBM.[69] Previous APUs from AMD relied on shared memory for both the GPU and the CPU.

Power consumption and heat output

Processors built at the 14 nm node on FinFET silicon should show reduced power consumption and therefore heat over their 28 nm and 32 nm non-FinFET predecessors (for equivalent designs), or be more computationally powerful at equivalent heat output/power consumption.

Zen is also expected to use clock gating,[38] reducing the frequency of underutilized portions of the core to save power. This will be through AMD's SenseMI technology, using sensors across the chip to dynamically scale frequency and voltage.[63]

Enhanced security and virtualization support

Zen added support for AMD's Secure Memory Encryption (SME) and AMD's Secure Encrypted Virtualization (SEV). Secure Memory Encryption is real-time memory encryption done per page table entry. Encryption occurs on a hardware AES engine and keys are managed by the onboard "Security" Processor (ARM Cortex-A5) at boot time to encrypt each page, allowing any DDR4 memory (including non-volatile varieties) to be encrypted. AMD SME also makes the contents of the memory more resistant to memory snooping and cold boot attacks.[70][71]

[72]

The Secure Encrypted Virtualization (SEV) feature allows the memory contents of a virtual machine (VM) to be transparently encrypted with a key unique to the guest VM. The memory controller contains a high-performance encryption engine which can be programmed with multiple keys for use by different VMs in the system. The programming and management of these keys is handled by the AMD Secure Processor firmware which exposes an API for these tasks.[73]

Connectivity

Incorporating much of the southbridge into the SoC, the Zen CPU includes SATA, USB, and PCI Express NVMe links.[74][75] This can be augmented by available Socket AM4 chipsets which add connectivity options including additional SATA and USB connections, and support for AMD's Crossfire and Nvidia's SLI.[76]

AMD, in announcing its Radeon Instinct line, argued that the upcoming Zen-based Naples server CPU would be particularly suited for building deep learning systems.[77][78] The expected 64 PCIe lanes per Naples CPU allows for four Instinct cards to connect at PCIe x16 to a single CPU. This compares favorably to the Intel Xeon line, with only 40 PCIe lanes.

Products

The Zen architecture is used in the current-generation desktop Ryzen CPUs. It is also in Epyc server processors (successor of Opteron processors), and APUs.[68][79][80]

The first desktop processors without graphics processing units (codenamed "Summit Ridge") were initially expected to start selling at the end of 2016, according to an AMD roadmap; with the first mobile and desktop processors of the AMD Accelerated Processing Unit type (codenamed "Raven Ridge") following in late 2017.[81] AMD officially delayed Zen until Q1 of 2017. In August 2016, an early demonstration of the architecture showed an 8-core/16-thread engineering sample CPU at 3.0 GHz.[9]

In December 2016, AMD officially announced the desktop CPU line under the Ryzen brand for release in Q1 2017. It also confirmed Server processors would be released in Q2 2017, and mobile APUs in H2 2017.[82]

On March 2, 2017, AMD officially launched the first Zen architecture-based octacore Ryzen desktop CPUs. The final clock speeds and TDPs for the 3 CPUs released in Q1 of 2017 demonstrated significant performance-per-watt benefits over the previous K15h (Piledriver) architecture.[83][84] The octacore Ryzen desktop CPUs demonstrated performance-per-watt comparable to Intel's Broadwell octacore CPUs.[85][86]

In March 2017, AMD also demonstrated an engineering sample of a server CPU based on the Zen architecture. The CPU (codenamed "Naples") was configured as a dual-socket server platform with each CPU having 32 cores/64 threads.[1][9]

Desktop processors

First Generation of Ryzen processors (Ryzen 1000 series):

Target
segment
Processor
branding & model
Cores
(threads)
Clock rate (GHz) Cache[lower-alpha 1] TDP Socket Memory
support
PCIe lanes[lower-alpha 2] Release
date
Release
price
(USD)
Base Precision Boost
1–2
(3+)
XFR L1 L2 L3
Entry-level Ryzen 3 1200[89][90][91] 4[92] (4) 3.1 3.4
(?)
3.45[93] 384 KB [94][95][96][97][98][99][100] 512 KB per core [101][102][103] 8 MB 65 W [104] AM4 DDR4-2666

Dual-channel

24[105] July 27, 2017 $109
Pro 1200[106][107] June 29, 2017 TBA
1300X[89][90] 3.5 3.7
(?)
3.9[108] July 27, 2017 $129
Pro 1300[106][107] June 29, 2017 TBA
Mainstream Ryzen 5 1400 4 (8) 3.2 3.4
(?)
3.45 April 11, 2017 $169
1500X 3.5 3.7
(3.6)
3.9 16 MB [101] April 11, 2017 $189
Pro 1500[106][107] June 29, 2017 TBA
1600 6 (12) 3.2 3.6
(3.4)
3.7 576 KB [109][110][111] April 11, 2017 $219
Pro 1600[106][107] June 29, 2017 TBA
1600X 3.6 4.0
(3.7)
4.1 95 W April 11, 2017 $249
Performance Ryzen 7 1700 8 (16[112]) 3.0 3.7
(3.2)
3.75 768 KB [113][114][115][116] 65 W March 2, 2017 $329
Pro 1700[106][107] June 29, 2017 TBA
1700X 3.4 3.8[117]
(3.5)
3.9 95 W Mar 2, 2017 $399
Pro 1700X[106][107] June 29, 2017 TBA
1800X 3.6 4.0
(3.7)
4.1 March 2, 2017 $499
High-end (HEDT) Ryzen
Threadripper [118][119]
1900X[101][120][112] 8 (16[112]) 3.8[112] 4.0
(3.9[121][122])
4.2 768 KB 180 W TR4[123] DDR4-2666
Quad-channel[123][124]
64[125] August 31, 2017[112] $549
1920X[126][101] 12 (24) 3.5 4.0
(3.7[127])
1.125 MB [103] 32 MB [101][102][103] August 10, 2017[120][112] $799
1950X[126][101] 16 (32) 3.4 4.0
(3.7)
1.5 MB [102] $999
  1. AMD defines 1 kilobyte (KB) as 1024 bytes, and 1 megabyte (MB) as 1024 kilobytes.[87]
  2. PCIe lane count includes 4 lanes used for connectivity to the chipset.[88]

Mobile APUs

Model CPU GPU Memory support TDP Release
date
Cores
(threads)
Clock rate (GHz) Cache[lower-alpha 1] Model Config[lower-alpha 2]
(cores)
Clock Processing power (GFLOPS)[lower-alpha 3]
Base Boost XFR L2 L3
Ryzen 3 2200U[128] 2 (4) 2.5 3.4 Unknown 1 MB 4 MB Vega 3 192:12:4
(3)[129]
1100 MHz 422.4 DDR4-2400 (dual channel) 12–25 W (configurable) 8 January, 2018
Ryzen 3 2300U[130] 4 (4) 2.0 2 MB Vega 6 384:24:8
(6)[131]
844.8
Ryzen 3 Pro 2300U[132] 15 May, 2018 [133]
Ryzen 5 2500U[134] 4 (8) 3.6 Vega 8 512:32:16
(8)[135]
1126.4 26 October, 2017[134]
Ryzen 5 Pro 2500U[136] 15 May, 2018 [133]
Ryzen 7 2700U[137] 2.2 3.8 Vega 10 640:40:16
(10)[138]
1300 MHz 1664 26 October, 2017[137]
Ryzen 7 Pro 2700U[139] 15 May, 2018 [133]
Ryzen 5 2600H[140] 4 (8) 3.2 3.6 2 MB 4 MB Vega 8 512:32:16
(8)
1100 MHz 1126.4 DDR4-3200 (dual channel) 35–54 W (configurable) 10 September, 2018[141]
Ryzen 7 2800H[140] 3.3 3.8 Vega 11 704:44:16
(11)
1300 MHz 1830.4
  1. AMD defines 1 kilobyte (KB) as 1024 bytes, and 1 megabyte (MB) as 1024 kilobytes.[87]
  2. Unified Shaders : Texture Mapping Units : Render Output Units
  3. Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.

Desktop APUs

Model CPU GPU Memory
support
TDP Release
date
Release
price
Cores
(threads)
Clock rate (GHz) Cache[lower-alpha 1] Model Config[lower-alpha 2]
(cores)
Clock Processing power (GFLOPS)[lower-alpha 3]
Base Boost XFR L2 L3
Athlon 200GE[142][143] 2 (4) 3.2 N/A Unknown 1 MB 4 MB Vega 3 192:12:4
(3)
1000 MHz 384 DDR4-2666 (Dual channel) 35 W September 6, 2018 $55
Athlon Pro 200GE[144][143] Unknown
Ryzen 3 2200GE[145][146] 4 (4) 3.2 3.6 Unknown 2 MB 4 MB RX Vega 8 512:32:16
(8)
1100 MHz 1126 DDR4-2933 (Dual channel)[147][148] 35 W April 19, 2018 Unknown
Ryzen 3 Pro 2200GE[149] May 10, 2018 Unknown
Ryzen 3 2200G[148][150] 3.5 3.7 Unknown 45–65 W (configurable) February 12, 2018[151] $99
Ryzen 3 Pro 2200G[152] May 10, 2018 Unknown
Ryzen 5 2400GE[153][146] 4 (8) 3.2 3.8 Unknown RX Vega 11 704:44:16
(11)[154]
1250 MHz 1760 35 W April 19, 2018 Unknown
Ryzen 5 Pro 2400GE[155] May 10, 2018 Unknown
Ryzen 5 2400G[147][150] 3.6 3.9 Unknown 45–65 W (configurable) February 12, 2018[151][156] $169
Ryzen 5 Pro 2400G[157] May 10, 2018 Unknown
  1. AMD defines 1 kilobyte (KB) as 1024 bytes, and 1 megabyte (MB) as 1024 kilobytes.[87]
  2. Unified Shaders : Texture Mapping Units : Render Output Units
  3. Single-precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.

Server processors

AMD announced in March 2017 that it will release a server platform based on Zen, codenamed Naples, in the second quarter of the year. The platform will include 1- and 2-socket systems. The CPUs in multi-processor configurations will communicate via AMD's Infinity Fabric.[158] Each chip supports eight channels of memory and 128 PCIe 3.0 lanes, of which 64 lanes will be used for CPU-to-CPU communication through Infinity Fabric when installed in a dual-processor configuration.[159] AMD officially revealed Naples under the brand name Epyc in May 2017.[160]

On June 20, 2017, AMD officially released the Epyc 7000 series CPUs at a launch event in Austin, Texas.[161]

Model Socket
Configu-
ration
Cores
(threads)
Clock rate (GHz) Cache Memory Support TDP
(W)
Release
date
Release
price (USD)
Base Boost L2
(kB)
L3
(MB)
All Core Max
Epyc 7351P[162] [163][164] 1P 16 (32) 2.42.9 16 × 512 64 DDR4-2666
8 Channels
155/170 June 2017
[165]
0$750+
Epyc 7401P[162] [163][164] 24 (48) 2.02.83.0 24 × 512 64 155/170$1075+
Epyc 7551P[162][163][164] 32 (64) 2.02.553.0 32 × 512 64 180$2100+
Epyc 7251[162][163][164] 2P 8 (16) 2.12.9 8 × 512 32[162]DDR4-2400
8 Channels
1200$475+
Epyc 7281[162][163][164] 16 (32) 2.12.72.7 16 × 512 32[162] DDR4-2666
8 Channels
155/1700 $650+
Epyc 7301[162][163][164] 2.22.72.7 16 × 512 640 $800+
Epyc 7351[162][163][164] 2.42.9 16 × 512 64$1100+
Epyc 7401[162][163][164] 24 (48) 2.02.83.0 24 × 512 64 DDR4-2666
8 Channels
155/170$1850+
Epyc 7451[162][163][164]2.32.93.224 × 512 180$2400+
Epyc 7501[162][163][164] 32 (64) 2.02.63.0 32 × 512 64 DDR4-2666
8 Channels
155/170$3400+
Epyc 7551[162][163][164]2.02.553.032 × 512 180$3400+
Epyc 7601[162][163][164] 2.22.73.2 32 × 512 180$4200+

See also

References

  1. 1 2 3 4 5 6 Anthony, Sebastian (18 August 2016). "AMD says Zen CPU will outperform Intel Broadwell-E, delays release to 2017". Ars Technica. Retrieved 18 August 2016.
  2. 1 2 3 "GlobalFoundries announces 14nm validation with AMD Zen silicon". ExtremeTech.
  3. Juyeop Han. "Samsung Electronics Does Toll Manufacturing for AMD's Next Chip". etnews.
  4. "Details of AMD Zen 16-core x86 APU emerge".
  5. "AMD Zen-based 8-core Desktop CPU Arrives in 2016, on Socket FM3". TechPowerUp.
  6. Kampman, Jeff (16 May 2017). "Ryzen Threadripper CPUs will offer 16 cores and 32 threads". Tech Report. Retrieved 16 May 2017.
  7. Kennedy, Patrick (16 May 2017). "AMD EPYC New Details on the Emerging Server Platform". Serve the Home. Retrieved 16 May 2017.
  8. 1 2 Ryan Smith (6 May 2015). "AMD's 2016-2017 x86 Roadmap: Zen Is In, Skybridge Is Out". AnandTech.
  9. 1 2 3 4 Kampman, Jeff (18 August 2016). "AMD gives us our first real moment of Zen". Tech Report. Retrieved 18 August 2016.
  10. Linder, Brad (1 February 2017). "AMD Ryzen chips coming in March, followed by Vega GPU in Q2, 2017". Liliputing. Retrieved 1 February 2017.
  11. Cutress, Ian. "AMD's Future in Servers: New 7000-Series CPUs Launched and Epyc Analysis". AnandTech. Retrieved 8 August 2017.
  12. "HP ENVY x360 Convertible Laptop - 15z touch - HP® Official Store". store.hp.com.
  13. Brad Chacos (8 January 2016). "AMD Zen-based CPUs and APUs will unify around Socket AM4". PCWorld.
  14. "Ryzen™ Threadripper™ Processors | AMD". www.amd.com. Retrieved 2017-09-29.
  15. "How AMD's powerful Zen chip flouts the SoC stereotype". PCWorld. Retrieved 2017-03-08.
  16. Cutress, Ian (18 August 2016). "Early AMD Zen Server CPU and Motherboard Details". Anandtech. Retrieved 22 March 2017.
  17. "Weekend tech reading: AMD 'Zen' and their return to high-end CPUs, tracking Windows pirates - TechSpot". techspot.com. Retrieved 2015-05-12.
  18. "AMD: Zen chips headed to desktops, servers in 2016 - The Tech Report - Page 1". techreport.com. Retrieved 2015-05-12.
  19. Anton Shilov (11 September 2014). "AMD: 'Bulldozer' was not a game-changer, but next-gen 'Zen' will be". KitGuru. Retrieved 1 February 2015.
  20. Software Optimization Guide for AMD Family 17h Processors / AMD, June 2017
  21. "AMD Zen Confirmed for 2016, Features 40% IPC Improvement Over Excavator".
  22. Ian Cutress (2017-03-02). "The Core Complex, Caches, and Fabric". Retrieved 2017-06-21.
  23. Clark, Mike. "A New x86 Core Architecture for the Next Generation of Computing" (PDF). AMD. p. 7. Archived (PDF) from the original on 2016-11-26.
  24. Cutress, Ian. "AMD Zen Microarchitecture: Dual Schedulers, Micro-Op Cache and Memory Hierarchy Revealed".
  25. Mujtaba, Hassan. "AMD Opens The Lid on Zen Architectural Details at Hot Chips – Huge Performance Leap Over Excavator, Massive Throughput on 14nm FinFET Design". WCCFtech. Retrieved 23 August 2016.
  26. Walrath, Josh. "AMD Zen Architecture Overview: Focus on Ryzen | PC Perspective". PC Perspective. Retrieved 13 March 2017.
  27. Jiménez, Daniel. "Strided Sampling Hashed Perceptron Predictor" (PDF). Texas A&M University.
  28. Williams, Chris. "'Neural network' spotted deep inside Samsung's Galaxy S7 silicon brain". The Register.
  29. Fog, Agner. "The microarchitecture of Intel, AMD and VIA CPUs" (PDF). Technical University of Denmark.
  30. 1 2 "AMD Starts Linux Enablement On Next-Gen "Zen" Architecture". Phoronix. 17 March 2015. Retrieved 17 March 2015.
  31. "AMD Takes Computing to a New Horizon with Ryzen™ Processors". www.amd.com.
  32. Chen, Sam (24 June 2017). "XFR". Custom PC Review. Retrieved 26 July 2017.
  33. Kirk Ladendorf - For the American-Statesman. "Amid challenges, chipmaker AMD sees a way forward".
  34. Lilly, Paul (23 July 2016), "AMD Shipping Zen In Limited Quantity Q4, Volume Rollout Ramps Q1 2017", hothardware.com, Zen is being built on an advanced GlobalFoundries-sourced 14nm FinFET process
  35. 1 2 "14nm AMD Zen CPU Will Have DDR4 and Simultaneous Multithreading". Softpedia. 28 January 2015. Retrieved 31 January 2015.
  36. "AMD's next gen CPU Zen". Shattered.Media. May 2015. Archived from the original on 2015-11-17.
  37. "AMD's Zen core (family 17h) to have ten pipelines per core".
  38. 1 2 Cutress, Ian (18 August 2016). "AMD Zen Microarchitecture". Anandtech. Retrieved 18 August 2016.
  39. AMD, "Software Optimization Guider for AMD Family 17h Processors"
  40. Jim Keller On AMD's Next-Gen High Performance x86 Zen Core & K12 ARM Core. YouTube. 7 May 2014.
  41. "Jim Keller Leaves AMD". Anand tech. Retrieved 2015-10-14.
  42. Merritt, Rick (24 August 2016). "AMD Reveals Zen of X86". EE Times. Retrieved 3 March 2017.
  43. TAKAHASHI, Dean (24 August 2016). "How AMD designed what could be its most competitive processors in a decade". VentureBeat. Retrieved 3 March 2017.
  44. Wong, Adrian (18 April 2017). "Joe Macri : The Disruptive Nature of AMD Ryzen". TechArp. Retrieved 20 April 2017.
  45. "AMD set to release first 'Zen'-based microprocessors in late 2016 – document". KitGuru.net. 12 June 2015. Retrieved 30 August 2015.
  46. "OC3D :: Article :: AMD Tests Zen CPUs, "Met All Expectation" with no "Significant Bottlenecks" found :: AMD Tests Zen CPUs, Met All Expectation with no Significant Bottlenecks found".
  47. "Samsung to fab AMD Zen & Arctic islands on its 14 nm Finfet node", Tech power up .
  48. Moorhead, Patrick (25 July 2016). "AMD Officially Diversifies 14nm Manufacturing With Samsung". Forbes. Retrieved 26 July 2016.
  49. "AMD's next-gen CPU leak: 14nm, simultaneous multithreading, and DDR4 support". ExtremeTech.
  50. Rulison, Larry (22 August 2016). "Reports: Chip made by GlobalFoundries beats Intel". Times Union. Retrieved 22 August 2016.
  51. Hruska, Joel (7 January 2016). "Confirmed: GlobalFoundries will manufacture AMD's mobile, low-power Polaris GPUs". ExtremeTech. Retrieved 22 August 2016.
  52. "AMD: We have taped out our first FinFET products". KitGuru.
  53. "CES: AMD finally unveils 28nm APU Kaveri to battle Intel Haswell". The Inquirer.
  54. "Intel Kaby Lake to compete against AMD Zen at end of 2016". 2016-03-02. Retrieved 2016-03-07. Intel's Kaby Lake-series processors, which are scheduled to launch in the third quarter, but will not begin volume production until the end of 2016, while AMD is set to release its Zen architecture-based processors at the end of the fourth quarter.
  55. Edward Jones (21 Oct 2016). "AMD Zen: A serious challenge to Intel?". Channel Pro.
  56. Manion, Wayne (8 February 2017). "AMD touts Zen die size advantage at ISSCC". Tech Report. Retrieved 10 February 2017.
  57. https://arstechnica.com/gadgets/2018/07/intel-says-not-to-expect-mainstream-10nm-chips-until-2h19/
  58. "Intel's 'Tick-Tock' Seemingly Dead, Becomes 'Process-Architecture-Optimization'". Anandtech. Retrieved 23 March 2016.
  59. Smith, Ryan (31 May 2016). "AMD Briefly Shows Off Zen "Summit Ridge" Silicon". Retrieved 7 June 2016.
  60. "AMD Announces Zen, 40% IPC Improvement Over Excavator - Coming In 2016". 7 May 2015.
  61. Ian Cutress (June 2, 2015). "IPC Increases: Double L1 Data Cache, Better Branch Prediction - AMD Launches Carrizo: The Laptop Leap of Efficiency and Architecture Updates". Anandtech.
  62. Cutress, Ian (22 February 2017). "AMD Launches Zen". Anandtech.com. Retrieved 22 February 2017.
  63. 1 2 3 Kampman, Jeff (13 December 2016). "AMD crests Summit Ridge with Ryzen CPUs". TechReport. Retrieved 13 December 2016.
  64. Cutress, Ian. "AMD Zen Microarchiture Part 2: Extracting Instruction-Level Parallelism".
  65. Leadbetter, Richard (22 February 2017). "In Theory: How AMD's Ryzen will disrupt the gaming CPU market".
  66. "AMD's Zen processors to feature up to 32 cores, 8-channel DDR4". TechSpot.
  67. MAC (30 March 2017). "ECC Memory & AMD's Ryzen - A Deep Dive". Hardware Canucks. Retrieved 14 July 2017.
  68. 1 2 "Zen-based APU with HBM to be AMD Carrizo successor".
  69. Shrout, Ryan (30 May 2017). "Computex 2017: AMD Demos Ryzen Mobile SoC with Vega Graphics". PC Perspective. Retrieved 2 June 2017.
  70. "[RFC PATCH v1 00/18] x86: Secure Memory Encryption (AMD)".
  71. "AMD MEMORY ENCRYPTION WHITEPAPER" (PDF).
  72. "LKML - Tom Lendacky (AMD) explains AMD Secure Memory Encryption".
  73. "AMD - Other Developer Guides: Secure Encrypted Virtualization Key Management PDF – 05/19/2016" (PDF).
  74. L, Alex; Walrath, Josh (12 January 2017). "Podcast #432 - Kaby Lake, Vega, CES Review". PC Perspective. Retrieved 13 January 2017.
  75. Mah Ung, Gordon (28 September 2016). "How AMD's powerful Zen chip flouts the SoC stereotype". PC World. Retrieved 13 January 2017.
  76. Justin, Michael; Sexton, Allen (3 March 2017). "AMD's AM4 Ryzen Chipsets". Tom's Hardware. Retrieved 3 March 2017.
  77. Smith, Ryan (12 December 2016). "AMD Announces Radeon Instinct: GPU Accelerators for Deep Learning, Coming in 2017". Anandtech. Retrieved 12 December 2016.
  78. Shrout, Ryan (12 December 2016). "Radeon Instinct Machine Learning GPUs include Vega, Preview Performance". PC Per. Retrieved 12 December 2016.
  79. "AMD Zen FX CPUs, APUs Release Details Surface, Top-Notch Performance In The Cards". Tech Times.
  80. "32-core AMD Opteron to feature quad-die MCM design". KitGuru.
  81. Mark Mantel (7 February 2017). "CPU-Roadmap 2017 - 2018: Künftige AMD- und Intel-CPUs/-APUs in der Übersicht". PC Games Hardware (in Germany). Retrieved 7 February 2017.
  82. Larabel, Michael (13 December 2016). "AMD Reveals More Zen CPU Details, Officially Known As Ryzen, No Linux Details Yet". Phoronix. Retrieved 13 December 2016.
  83. "Power Consumption And Efficiency - AMD FX-8350 Review: Does Piledriver Fix Bulldozer's Flaws?". Tom's Hardware. 2012-10-22. Retrieved 2017-03-12.
  84. "AMD Ryzen 7 1800X: Power Consumption And Temperatures". Tom's Hardware. 2017-03-02. Retrieved 2017-03-12.
  85. "AMD Ryzen 7 1800X and AM4 Platform Review". bit-tech. Retrieved 2017-03-12.
  86. "The AMD Ryzen 7 1800X Review: Now and Zen | Power Consumption and Conclusions". www.pcper.com. Retrieved 2017-03-12.
  87. 1 2 3 "Processor Programming Reference (PPR) for AMD Family 17h Model 01h, Revision B1 Processors" (PDF). Processor Programming Reference (PPR) for AMD Family 17h Model 01h, Revision B1 Processors. AMD. Retrieved 14 July 2017.
  88. Hagedoorn, Hilbert (11 April 2017). "AMD Ryzen 5 1500X and 1600X review - The AMD Chipsets". Guru3D. Retrieved 4 August 2017.
  89. 1 2 Smith, Ryan (29 June 2017). "AMD Inadvertently Reveals Ryzen 3 1300 & 1200 Details". Anandtech. Retrieved 29 June 2017.
  90. 1 2 Bright, Peter (13 July 2017). "AMD Threadripper—16 cores and 32 threads for $999–arrives in August". Ars Technica. Retrieved 13 July 2017.
  91. Frederiksen, Eric (30 May 2017). "Dell taps AMD for the Inspiron Gaming Desktop and new AIOs". Tech Report. Retrieved 30 May 2017.
  92. Linder, Brad (5 April 2017). "AMD Ryzen 3 1200 chip details leaked ahead of schedule". Liliputing. Retrieved 31 May 2017.
  93. http://www.anandtech.com/show/11658/the-amd-ryzen-3-1300x-ryzen-3-1200-cpu-review
  94. "Ryzen™ 3 1200 | Quad Core Performance Processor | AMD". www.amd.com. Retrieved 2018-06-30.
  95. "Ryzen™ 3 PRO 1200 Commercial Grade Processor | AMD". www.amd.com. Retrieved 2018-06-30.
  96. "Ryzen™ 3 1300X Processor for Gaming and Computing | AMD". www.amd.com. Retrieved 2018-06-30.
  97. "Ryzen™ 3 PRO 1300 Desktop Processor | AMD". www.amd.com. Retrieved 2018-06-30.
  98. "Ryzen™ 5 1400 | Performance Gaming and Processing | AMD". www.amd.com. Retrieved 2018-06-30.
  99. "Ryzen™ 5 PRO 1500 | Commercial Grade Processor | AMD". www.amd.com. Retrieved 2018-06-30.
  100. "Ryzen™ 5 1500X | High Performance Processor | AMD". www.amd.com. Retrieved 2018-06-30.
  101. 1 2 3 4 5 6 Alcorn, Paul (30 July 2017). "Threadripper Lands August 10, AMD Unveils Pricing, Accessory Kit, New 8-Core Model". Tom's Hardware. Retrieved 1 August 2017.
  102. 1 2 3 "AMD Ryzen™ Threadripper 1950X".
  103. 1 2 3 "AMD Ryzen™ Threadripper 1920X".
  104. Linder, Brad (5 April 2017). "AMD Ryzen 3 1200 chip details leaked ahead of schedule". Liliputing. Retrieved 31 May 2017.
  105. Walton, Mark (2 March 2017). "AMD Ryzen 7 1800X still behind Intel, but it's great for the price". Ars Technica. Retrieved 4 August 2017.
  106. 1 2 3 4 5 6 Shilov, Anton (29 June 2017). "AMD Launches Ryzen PRO CPUs". Anandtech. Retrieved 29 June 2017.
  107. 1 2 3 4 5 6 "AMD Ryzen™ PRO Processors". AMD.
  108. http://www.anandtech.com/show/11658/the-amd-ryzen-3-1300x-ryzen-3-1200-cpu-review
  109. "Ryzen™ 5 1600 Processor for Gaming | AMD". www.amd.com. Retrieved 2018-06-30.
  110. "Ryzen™ 5 PRO 1600 Desktop Processor | AMD". www.amd.com. Retrieved 2018-06-30.
  111. "Ryzen™ 5 1600X | Fastest 6 Core Gaming Processor | AMD". www.amd.com. Retrieved 2018-06-30.
  112. 1 2 3 4 5 6 "AMD announces Ryzen Threadripper 1900X on facebook". official Advanced Micro Devices, Inc. account on facebook. 2017-07-31. Retrieved 2017-07-31.
  113. "AMD Ryzen™ 7 1700 | AMD". www.amd.com. Retrieved 2018-06-30.
  114. "AMD Ryzen™ 7 1800X Processor | AMD". www.amd.com. Retrieved 2018-06-30.
  115. "AMD Ryzen™ 7 1800X Processor | AMD". www.amd.com. Retrieved 2018-06-30.
  116. "AMD Ryzen™ Threadripper™ 1900X Processor | AMD". www.amd.com. Retrieved 2018-06-30.
  117. "AMD Ryzen 7 PRO 1700X". AMD. AMD. Retrieved 2 November 2017.
  118. Kampman, Jeff (16 May 2017). "Ryzen Threadripper CPUs will offer 16 cores and 32 threads". Tech Report. Retrieved 16 May 2017.
  119. "AMD Unveils Expanding Set of High-Performance Products and Technologies Propelling Next Phase of Growth". Advanced Micro Devices, Inc. 2017-05-16. Archived from the original on 2017-05-17. Retrieved 2017-05-17.
  120. 1 2 Cutress, Ian (30 July 2017). "AMD Threadripper 1950X and 1920X Out August 10th". Anandtech. Retrieved 31 July 2017.
  121. Alcorn, Paul (23 October 2017). "AMD Ryzen Threadripper 1900X CPU Review". Tom's Hardware.
  122. Hagedoorn, Hilbert (31 August 2017). "AMD releases its eigth [sic] Core Ryzen Threadripper 1900X". Guru3D.
  123. 1 2 Manion, Wayne (30 May 2017). "ROG Zenith Extreme spills some beans on Ryzen Threadripper". Tech Report. Retrieved 30 May 2017.
  124. Shrout, Ryan (13 July 2017). "AMD Ryzen Threadripper 1950X and 1920X Announced: Flagship Performance at $999". PC Perspective. Retrieved 14 July 2017.
  125. Bright, Peter (13 July 2017). "AMD Threadripper—16 cores and 32 threads for $999–arrives in August". Ars Technica. Retrieved 4 August 2017.
  126. 1 2 Cutress, Ian (13 July 2017). "AMD Threadripper 1920X and 1950X CPU Details". Anandtech. Retrieved 13 July 2017.
  127. Kirsch, Nathan (10 August 2017). "AMD Ryzen Threadripper 1950X and Threadripper 1920X Processor Review". Legit Reviews.
  128. "AMD Ryzen™ 3 2200U". Retrieved 2018-01-21.
  129. https://www.techpowerup.com/gpudb/3078/radeon-vega-3
  130. "AMD Ryzen™ 3 2300U". Retrieved 2018-01-21.
  131. https://www.techpowerup.com/gpudb/3079/radeon-vega-6
  132. "AMD Ryzen™ 3 PRO 2300U". 2018-01-21. Retrieved 8 January 2018.
  133. 1 2 3 Cutress, Ian (15 May 2018). "AMD Launches Ryzen Pro with Vega: Mobile APUs and Desktop APUs". Anandtech. Retrieved 28 August 2018.
  134. 1 2 "AMD Ryzen™ 5 2500U". Retrieved 2018-01-21.
  135. https://www.techpowerup.com/gpudb/3042/radeon-rx-vega-8-mobile
  136. "AMD Ryzen™ 5 PRO 2500U". 2018-01-21. Retrieved 8 January 2018.
  137. 1 2 "AMD Ryzen™ 7 2700U". Retrieved 2018-01-21.
  138. https://www.techpowerup.com/gpudb/3053/radeon-rx-vega-10-mobile
  139. "AMD Ryzen™ 7 PRO 2700U". 2018-01-21. Retrieved 8 January 2018.
  140. 1 2 https://www.anandtech.com/show/13373/amd-launches-ryzen-7-2800h-ryzen-5-2600h-apus
  141. https://www.amd.com/en/products/specifications/apu
  142. "Processor Specifications". AMD. Retrieved 2018-09-06.
  143. 1 2 "AMD Announces New $55 Low-Power Processor: Athlon 200GE". AnandTech. Retrieved 2018-09-06.
  144. "Processor Specifications". AMD. Retrieved 2018-09-06.
  145. "2nd Gen AMD Ryzen™ 3 2200GE Desktop Processor". AMD. Retrieved 2018-04-19.
  146. 1 2 Shilov, Anton (12 February 2018). "AMD Readies Ryzen 3 2200GE & Ryzen 5 2400GE APUs with Reduced TDP". Anandtech. Retrieved 12 February 2018.
  147. 1 2 "AMD Ryzen™ 5 2400G". Retrieved 2018-01-19.
  148. 1 2 "AMD Ryzen™ 3 2200G". Retrieved 2018-01-19.
  149. https://www.amd.com/en/products/apu/amd-ryzen-3-pro-2200ge
  150. 1 2 Linder, Brad (22 January 2018). "AMD reveals specs for Ryzen desktop chips with Radeon graphics". Liliputing. Retrieved 22 January 2018.
  151. 1 2 https://www.techspot.com/news/72627-amd-2nd-gen-ryzen-coming-april-desktop-ryzen.html
  152. https://www.amd.com/en/products/apu/amd-ryzen-3-pro-2200g
  153. "AMD Ryzen™ 5 2400GE". Retrieved 2018-04-19.
  154. https://www.techpowerup.com/gpudb/3054/radeon-rx-vega-11-mobile
  155. https://www.amd.com/en/products/apu/amd-ryzen-5-pro-2400ge
  156. https://arstechnica.com/gadgets/2018/01/amds-2018-roadmap-desktop-apus-in-february-second-generation-ryzen-in-april/
  157. https://www.amd.com/en/products/apu/amd-ryzen-5-pro-2400g
  158. Kampman, Jeff (7 March 2017). "AMD's Naples platform prepares to take Zen into the datacenter". Tech Report. Retrieved 7 March 2017.
  159. Cutress, Ian (7 March 2017). "AMD Prepares 32-Core Naples CPUs for 1P and 2P Servers: Coming in Q2". Anandtech. Retrieved 7 March 2017.
  160. Kampman, Jeff (16 May 2017). "AMD's Naples datacenter CPUs will make an Epyc splash". Tech Report. Retrieved 16 May 2017.
  161. "AMD launches broad Epyc server processor line with up to 32 cores per chip". VentureBeat. 2017-06-20. Retrieved 2017-08-08.
  162. 1 2 3 4 5 6 7 8 9 10 11 12 13 14 https://www.amd.com/system/files/2017-06/AMD-EPYC-Data-Sheet.pdf Page 2
  163. 1 2 3 4 5 6 7 8 9 10 11 12 Cutress, Ian (20 June 2017). "AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis". Anandtech.com. Retrieved 21 June 2017.
  164. 1 2 3 4 5 6 7 8 9 10 11 12 Cutress, Ian (20 June 2017). "AMD EPYC Launch Event Live Blog". Anandtech.com. Retrieved 21 June 2017.
  165. Kennedy, Patrick (16 May 2017). "AMD EPYC New Details on the Emerging Server Platform". Serve the Home. Retrieved 16 May 2017.
This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.