POWER9

POWER9 is a family of superscalar, multithreading, symmetric multiprocessors based on the Power ISA announced in August 2016 at the Hot Chips conference.[2] The POWER9-based processors are being manufactured using a 14 nm FinFET process,[3] in 12- and 24-core versions, for scale out and scale up applications,[3] and possibly other variations, since the POWER9 architecture is open for licensing and modification by the OpenPOWER Foundation members.[5]

POWER9
General Info
Launched2017
Designed byIBM
Common manufacturer(s)
Performance
Max. CPU clock rate4 GHz[1]
Cache
L1 cache32+32 KB per core[1]
L2 cache512 KB per core[1]
L3 cache120 MB per chip[1]
L4 cachevia Centaur[1]
Architecture and classification
Min. feature size14 nm (FinFET)
Instruction setPower ISA (Power ISA v.3.0)
Physical specifications
Cores
  • 12 SMT8 cores or 24 SMT4 cores on die[2][3][4]
History
PredecessorPOWER8
SuccessorPOWER10

The second fastest supercomputer in the world, Summit, is based on POWER9, while also using Nvidia Tesla [6] GPUs as accelerators.

Design

Core

The POWER9 core comes in two variants, a four-way multithreaded one called SMT4 and an eight-way one called SMT8.[1] The SMT4- and SMT8-cores are similar, in that they consist of a number of so-called slices fed by common schedulers. A slice is a rudimentary 64-bit single-threaded processing core with load store unit (LSU), integer unit (ALU) and a vector scalar unit (VSU, doing SIMD and floating point). A super-slice is the combination of two slices. An SMT4-core consists of a 32 KB L1 cache, a 32 KB L1 data cache, an instruction fetch unit (IFU) and an instruction sequencing unit (ISU) which feeds two super-slices. An SMT8-core has two sets of L1 caches and, IFUs and ISUs to feed four super-slices. The result is that the 12-core and 24-core versions of POWER9 each consist of the same number of slices (96 each) and the same amount of L1 cache.

A POWER9 core, whether SMT4 or SMT8, has a 12-stage pipeline (five stages shorter than its predecessor, the POWER8), but aims to retain the clock frequency of around 4 GHz.[1] It will be the first to incorporate elements of the Power ISA v.3.0 that was released in December 2015, including the VSX-3 instructions.[7] The POWER9 design is made to be modular and used in more processor variants and used for licensing, on a different fabrication process than IBM's.[8] On chip are co-processors for compression and cryptography, as well as a large low-latency eDRAM L3 cache.[3]

Scale out / scale up

  • IBM POWER9 SO  scale-out variant, optimized for dual socket computers with up to 120 GB/s bandwidth to directly attached DDR4 memory[1][3][8] (targeted for release in 2017)
  • IBM POWER9 SU  scale-up variant, optimized for four sockets or more, for large NUMA machines with up to 230 GB/s bandwidth to buffered memory[1][8] (uses "25.6 GHz" signaling with the PowerAXON 25 GT/sec Link interface[9])

Both POWER9 variants can ship in versions with some cores disabled due to yield reasons, as such Raptor Computing Systems first sold 4-core chips, and even IBM initially sold its AC922 systems with no more than 22-core chips, even though both types of chips have 24 cores on their dies.[10][4]

I/O

A lot of facilities are on-chip for helping with massive off-chip I/O performance:

  • The SO variant has integrated DDR4 controllers for directly attached RAM, while the SU variant will use the off-chip Centaur architecture introduced with POWER8 to include high performance eDRAM L4 cache and memory controllers for DDR4 RAM.[1][3]
  • The Bluelink interconnects for close attachment of graphics co-processors from Nvidia (over NVLink v.2) and OpenCAPI accelerators.[11]
  • General purpose PCIe v.4 connections for attaching regular ASICs, FPGAs and other peripherals as well as CAPI 2.0 and CAPI 1.0 devices designed for POWER8.
  • Multiprocessor (symmetric multiprocessor system) links to connect other POWER9 processors in on the same motherboard, or in other closely attached enclosures.

Chip types

POWER9 chips can be made with two types of cores, and in a Scale Out or Scale Up configuration. POWER9 cores are either SMT4 or SMT8, with SMT8 cores intended for PowerVM systems, while the SMT4 cores are intended for PowerNV systems, which do not use PowerVM, and predominantly run Linux. With POWER9, chips made for Scale Out can support directly-attached memory, while Scale Up chips are intended for use with machines with more than two CPU sockets, and use buffered memory.[12][1]

POWER9 Chips
PowerNV PowerVM
24 × SMT4 core12 × SMT8 core
Scale Out Nimbusunknown
Scale Up Cumulus

Modules

The IBM Portal for OpenPOWER lists the three available modules for the Nimbus chip, although the Scale-Out SMT8 variant for PowerVM also uses the LaGrange module/socket:[13]

  • Sforza – 50 mm × 50 mm, 4 DDR4, 48 PCIe lanes, 1 XBus 4B[14]
  • Monza – 68.5 mm × 68.5 mm, 8 DDR4, 34 PCIe lanes, 1 XBus 4B, 48 OpenCAPI lanes[15]
  • LaGrange – 68.5 mm × 68.5 mm, 8 DDR4, 42 PCIe lanes, 2 XBus 4B, 16 OpenCAPI lanes[16]

Sforza modules use a Land grid array 2601-pin socket.[17]

Systems

Raptor Computing Systems / Raptor Engineering

Talos II – two-socket workstation/server platform using POWER9 SMT4 Sforza processors;[18] available as 2U server, 4U server, tower, or EATX mainboard. Marketed as secure and owner-controllable with free and open-source software and firmware. Initially shipping with 4-core,[19] 8-core,[20] 18-core,[21] and 22-core[22] chip options until chips with more cores are available.[23][24]

Talos II Lite – single-socket version of the Talos II mainboard, made using the same PCB.[25]

Blackbird – single-socket microATX platform using SMT4 Sforza processors (130W variant), 4–22 cores, 2 RAM slots (supporting up to 256GiB total)[26]

Google–Rackspace partnership

Barreleye G2 / Zaius – two-socket server platform using LaGrange processors;[18] both the Barreleye G2 and Zaius chassis use the Zaius POWER9 motherboard[27][28][29]

IBM

Power Systems AC922 – 2U, 2× POWER9 SMT4 Monza, with up to 6× Nvidia Volta GPUs, 2× CAPI 2.0 attached accelerators and 1 TB DDR4 RAM. AC here is an abbreviation for Accelerated Computing; this system is also known as "Witherspoon" or "Newell".[18][30][31][32][33]

Power Systems L922 – 2U, 1–2× POWER9 SMT8, 8–12 cores per processor, up to 4 TB DDR4 RAM, PowerVM running Linux.[34][35]

Power Systems S914 – 4U, 1× POWER9 SMT8, 4–8 cores, up to 1 TB DDR4 RAM, PowerVM running AIX/IBM i/Linux.[34][35]

Power Systems S922 – 2U, 1–2× POWER9 SMT8, 4–10 cores per processor, up to 4 TB DDR4 RAM, PowerVM running AIX/IBM i/Linux.[34][35]

Power Systems S924 – 4U, 2× POWER9 SMT8, 8–12 cores per processor, up to 4 TB DDR4 RAM, PowerVM running AIX/IBM i/Linux.[34][35][36]

Power Systems H922 – 2U, 1–2× POWER9 SMT8, 4–10 cores per processor, up to 4 TB DDR4 RAM, PowerVM running SAP HANA (on Linux) with AIX/IBM i on up to 25% of the system.[34][35][37]

Power Systems H924 – 4U, 2× POWER9 SMT8, 8–12 cores per processor, up to 4 TB DDR4 RAM, PowerVM running SAP HANA (on Linux) with AIX/IBM i on up to 25% of the system.[34][35][37]

Power Systems E950 – 4U, 2–4× POWER9 SMT8, 8–12 cores per processor, up to 16 TB buffered DDR4 RAM[38]

Power Systems E980 – 1–4× 4U, 4–16× POWER9 SMT8, 8–12 cores per processor, up to 64 TB buffered DDR4 RAM[39]

Penguin Computing

Magna PE2112GTX – 2U, two-socket server for high performance computing using LaGrange processors. Manufactured by Wistron.[40]

IBM Supercomputers

Summit and Sierra  The United States Department of Energy together with Oak Ridge National Laboratory and Lawrence Livermore National Laboratory contracted IBM and Nvidia to build two supercomputers, the Summit and the Sierra, are based on POWER9 processors coupled with Nvidia's Volta GPUs. These systems are slated to go online in 2017.[41][42][43] Sierra is based on IBM's Power Systems AC922 compute node.[31] The first racks of Summit were delivered to Oak Ridge National Laboratory on 31 July 2017.[44]

MareNostrum 4 – One of the three clusters in the emerging technologies block of the fourth MareNostrum supercomputer is a POWER9 cluster with Nvidia Volta GPUs. This cluster is expected to provide more than 1.5 petaflops of computing capacity when installed. The emerging technologies block of the MareNostrum 4 exists to test if new developments might be "suitable for future versions of MareNostrum".[45]

Operating system support

As with its predecessor, POWER9 is supported by FreeBSD,[46] IBM AIX, IBM i, and Linux (both running with and without PowerVM).

Implementation of POWER9 support in the Linux kernel began with version 4.6 in March 2016.[47]

RHEL, SUSE, Debian GNU/Linux, and CentOS are supported as of August 2018.[48][49][50][51]

See also

References

  1. Big Blue Aims For The Sky With Power9
  2. Shah, Agam (2016-08-23). "IBM's 24-core Power9 chip: 5 things you need to know". PCWorld.
  3. McCredie, Brad (April 2016). "OpenPOWER and the Roadmap Ahead" (presentation). OpenPOWER Foundation.
  4. Morgan, Timothy Prickett (2017-12-05). "Power9 To The People". The Next Platform. the Nimbus Power9 chip used in the AC922 is a single chip module that has 24 cores on the die. The Summit and Sierra machines based on the AC922 are getting 22 core versions of the chips ... IBM could later, as Power9 yields improve, add a 24 core option.
  5. Williams, Chris (2016-04-07). "Power9: Google gives Intel a chip-flip migraine, IBM tries to lures big biz". The Register.
  6. https://www.ornl.gov/news/ornl-launches-summit-supercomputer
  7. Add full Power ISA 3.0 / POWER9 binutils support
  8. The Prospects For A Power9 Revolution
  9. "The IBM POWER9 Scale Up Processor" (PDF). AXON @25.6GHz vs DDR4 @ 3200 MHz
  10. 2017, (c) Raptor Engineering, LLC 2009 -. "Raptor Computing Systems::CP9M01 Intro". www.raptorcs.com. Retrieved 2017-11-17.CS1 maint: numeric names: authors list (link)
  11. Nvidia's NVLink 2.0 will first appear in Power9 servers next year
  12. Stuecheli, Jeff (26 January 2017). "Webinar POWER9" (Video recording / slides). AIX Virtual User Group. - Slides (PDF) - AIX VUG page has links to slides and video
  13. Gangidi, Adi. "Let me comment on LaGrange POWER9". Twitter.
  14. IBM Portal for OpenPOWER - POWER9 - Sforza Module
  15. IBM Portal for OpenPOWER - POWER9 - Monza Module
  16. IBM Portal for OpenPOWER - POWER9 - LaGrange Module
  17. "T2P9D01 Mainboard User's Guide" (pdf). Raptor Computing Systems. 2018.
  18. Raptor Computing Systems Wiki - OpenPOWER
  19. "Raptor Computing Systems::CP9M01". www.raptorcs.com. Retrieved 2018-03-03.
  20. "Raptor Computing Systems::CP9M02". www.raptorcs.com. Retrieved 2018-03-03.
  21. "Raptor Computing Systems::CP9M06". www.raptorcs.com. Retrieved 2018-03-03.
  22. "Raptor Computing Systems::CP9M08". www.raptorcs.com. Retrieved 2018-05-20.
  23. "Raptor Computing Systems::TL2WK2" (product description). Raptor Computing Systems.
  24. "Raptor Computing Systems::Frequently Asked Questions". www.raptorcs.com. Retrieved 2017-11-17.
  25. "Talos II Lite Mainboard". Retrieved 2018-06-29.
  26. "Blackbird™ Mainboard (Board Only)". www.raptorcs.com. Retrieved 2019-02-01.
  27. Zipfel, John; Lippert, Rob. "Introducing Zaius, Google and Rackspace's open server running IBM POWER9" (blog post). Google Cloud Platform Blog. Google.
  28. Lippert, Rob; Sullivan, Aaron; Gangidi, Adi; Yeh, Poly (2016-12-07). "Zaius / Barreleye G2 Specification Chassis, Motherboard, Lunchbox Power Supply - Revision 0.5.3" (pdf). Github. Open Compute Project.
  29. Sullivan, Aaron (2017-03-08). "The Latest on Our Zaius /Barreleye G2 Open Compute-OpenPOWER Server" (blog post). The Official Rackspace Blog. Rackspace.
  30. David Bader - Twitter
  31. How you can Boost Acceleration with OpenCAPI, Today!
  32. IBM Power System AC922 (8335-GTG) server helps you to harness breakthrough accelerated AI, HPDA, and HPC performance for faster time to insight
  33. "IBM Power System AC922 - Details - United States". IBM Marketplace. 6 December 2017.
  34. https://www.theregister.co.uk/2018/02/14/ibm_power9_servers/ Big Blue levels up server sextet with POWER9 for IBM i, AIX, HANA, Linux
  35. https://www.nextplatform.com/2018/02/15/ins-outs-ibms-power9-zz-systems/ The Ins And Outs Of IBM’s Power9 ZZ Systems
  36. Griffiths, Nigel (14 February 2018). "IBM POWER9 Scale-Out S924 First Look" (video). YouTube.
  37. Morgan, Timothy Prickett (14 February 2018). "At Long Last, IBM i Finally Gets Power9 - IT Jungle". IT Jungle.
  38. "IBM Systems Hardware IBM Power System E950 Data Sheet" (PDF).
  39. "IBM Systems Hardware IBM Power System E980 Data Sheet" (PDF).
  40. Hill, Thomas (2017-11-15). "@PenguinHPC showing off the results of true open collaboration with their latest #POWER9 system #openpower #hpc #SC17pic.twitter.com/HdEHQ0vwNi". Twitter. Retrieved 16 November 2017.
  41. NVIDIA Volta, IBM POWER9 Land Contracts For New US Government Supercomputers
  42. ORNL Summit home page
  43. Lawrence Livermore signs contract with IBM
  44. ORNL building world’s smartest supercomputer
  45. "MareNostrum". BSC-CNS. Barcelona Supercomputing Center. Retrieved 30 October 2017.
  46. "FreeBSD revision introducing POWER9 CPU identifier to the system". svnweb.freebsd.org. Retrieved 2018-10-11.
  47. "Linux 4.6 Begins Laying The Foundation For POWER9". Phoronix. March 18, 2016.
  48. "Red Hat Enterprise Linux 7.4 for IBM Power LE (POWER9) - Release Notes - Red Hat Customer Portal". access.redhat.com. Retrieved 2017-11-17.
  49. "PPC64 - Debian Wiki". wiki.debian.org. Retrieved 2017-11-17.
  50. "SUSE Linux Enterprise Server for POWER | SUSE". www.suse.com. Retrieved 2017-11-17.
  51. "Release for CentOS Linux 7 (1804) on POWER9 (ppc64le)". lists.centos.org. Retrieved 2018-08-15.
This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.