Extreme ultraviolet lithography

Image formation mechanism in EUV lithography. Top: EUV multilayer and absorber (purple) constituting mask pattern for imaging a line. Bottom: EUV radiation (red) reflected from the mask pattern is absorbed in the resist (yellow) and substrate (brown), producing photoelectrons and secondary electrons (blue). These electrons increase the extent of chemical reactions in the resist. A secondary electron pattern that is random in nature is superimposed on the optical image. The unwanted secondary electron exposure results in loss of resolution, observable line edge roughness and linewidth variation.
Stochastic aspect of EUV imaging. The actual image produced by EUV (red) is typically noisy compared to the expected target (blue). This feature is 18 nm half-pitch at a dose of 20 mJ/cm2.

Extreme ultraviolet lithography (also known as EUV or EUVL) is a next-generation lithography technology using an extreme ultraviolet (EUV) wavelength, currently expected to be 13.5 nm. EUV is currently being developed for high volume use by 2020.[1][2][3][4]

Tool

EUVL tool, Lawrence Livermore National Laboratory.

The tool consists of a laser-driven tin (Sn) plasma light source, reflective optics comprising multilayer mirrors, contained within a hydrogen gas ambient. The hydrogen is used for keeping the EUV collector mirror in the source free of Sn deposition.[5]

EUVL is a significant departure from the deep ultraviolet lithography standard. All matter absorbs EUV radiation. Hence, EUV lithography requires a vacuum. All optical elements, including the photomask, must use defect-free molybdenum/silicon (Mo/Si) multilayers (consisting of 40 Mo/Si bilayers) that act to reflect light by means of interlayer interference; any one of these mirrors absorb around 30% of the incident light.

Current EUVL systems contain at least two condenser multilayer mirrors, six projection multilayer mirrors and a multilayer object (mask). Since the optics already absorbs 96% of the EUV light, the ideal EUV source will need to be much brighter than its predecessors. EUV source development has focused on plasmas generated by laser or discharge pulses. The mirror responsible for collecting the light is directly exposed to the plasma and is therefore vulnerable to damage from high-energy ions[6][7] and other debris.[8]

Resource requirements

Utility 200 W output EUV 90 W output ArF immersion double patterning
Electrical power (kW) 532 49
Cooling water flow (L/min) 1600 75
Gas lines 6 3

Source: Gigaphoton, Sematech Symposium Japan, September 15, 2010

The required utility resources are significantly larger for EUV compared to 193 nm immersion, even with two exposures using the latter. Hynix reported at the 2009 EUV Symposium that the wall plug efficiency was ~0.02% for EUV, i.e., to get 200-watts at intermediate focus for 100 wafers-per-hour, one would require 1-megawatt of input power, compared to 165-kilowatts for an ArF immersion scanner, and that even at the same throughput, the footprint of the EUV scanner was ~3x the footprint of an ArF immersion scanner, resulting in productivity loss.[9] Additionally, to confine ion debris, a superconducting magnet may be required.[10]

A typical EUV tool weighs 180 tons.[11]

Summary of key features

The following table summarizes key differences between EUV systems in development and ArF immersion systems which are already widely used in production today:

EUV ArF immersion
Wavelength 13.5 nm 193 nm
Photon energy 92 eV 6.4 eV
Light source CO2 laser + Sn plasma ArF excimer laser
Wavelength bandwidth 5.9%[12] <0.16%[13]
Secondary electrons produced by absorption Yes No
Optics Reflective multilayers (~30% absorbing per mirror) Transmissive lenses
Numerical aperture (NA) 0.25: NXE:3100
0.33: NXE:33x0 and NXE:3400B
High NA (0.55): in development
1.2, 1.35
Resolution spec
Note: k1 defined here as resolution/(wavelength/numerical aperture)
NXE:3100: 27 nm (k1=0.5)
NXE:3300B: 22 nm (k1=0.54), 18 nm (k1=0.44) with off-axis illumination
NXE:3350B: 16 nm (k1=0.39)
NXE:3400B: 13 nm (k1=0.32)
38 nm (k1=0.27)
Flare 4%[14] <1%[15]
Illumination Central angle 6 degrees off axis onto reticle On axis
Field size 0.25 and 0.33 NA: 26 mm X 33 mm
High NA: 26 mm X 16.5 mm[16]
26 mm X 33 mm
Magnification 0.25 and 0.33 NA: 4X isomorphic
High NA: 4X/8X anamorphic
4X
Ambient Vacuum hydrogen Air (exposed wafer area underwater)
Aberration control (including thermal) None Yes, e.g., FlexWave[17]
Illumination slit Arc-shaped[18] Rectangular[19]
Reticle Pattern on reflective multilayer Pattern on transmissive substrate
Wafer pattern shift with reticle vertical position Yes (due to reflection); ~1:40[20] No
Pellicle Not yet available Yes
Wafers per day (Note: depends on tool and dose) 1000 6000
# tools in field 36 (all 0.33 NA tool models) > 400

The different degrees of resolution among the 0.33 NA tools are due to the different illumination options.

Light source power, throughput, and uptime

EUV TPT as a function of dose. The wafer throughput of an EUV tool is actually a function of exposure dose, for a fixed source power.
EUV collector degradation over time. EUV collector reflectivity degrades ~10% within a day (~4 billion 50 kHz pulses), leading to loss of uptime and throughput.

Neutral atoms or condensed matter cannot emit EUV radiation. Ionization must precede EUV emission in matter. The thermal production of multicharged positive ions is only possible in a hot dense plasma, which itself strongly absorbs EUV.[21] As of 2016, the established EUV light source is a laser-pulsed Sn plasma.[22] The ions absorb the EUV light they emit, and are easily neutralized by electrons in the plasma to lower charge states which produce light mainly at other, unusable wavelengths, which results in a much reduced efficiency of light generation for lithography at higher plasma power density.

The throughput is tied to the source power, divided by the dose.[23] A higher dose requires a slower stage motion (lower throughput) if pulse power cannot be increased.

The EUV collector has a lifetime on the order of months, over which transmission steadily decreases, over 10% in a day (10 billion 50 kHz pulses).[24] This could be due to the accumulating Sn residue mentioned above which is not completely cleaned off.[25][26] On the other hand, conventional immersion lithography tools for double patterning provide consistent output for up to a year.[27]

Recently, the NXE:3400B illuminator features a smaller pupil fill ratio (PFR) down to 20% without transmission loss.[28] PFR is maximized and greater than 0.2 around a metal pitch of 45 nm.[29]

Due to the use of EUV mirrors which also absorb EUV light, only a small fraction of the source light is finally available at the wafer. There are 4 mirrors used for the illumination optics, and 6 mirrors for the projection optics. The EUV mask or reticle is itself an additional mirror. With 11 reflections, only ~ 2% of the EUV source light is available at the wafer.[30]

A further complication for EUV tool throughput is the ring shape of the exposure slit, in contrast to the conventional rectangular shape.[31] Consequently, slit width and height are effectively confounded in a complicated fashion.

Tool uptime

The EUV light source limits tool uptime besides throughput. In a two-week period, for example, over seven hours downtime may be scheduled, while total actual downtime including unscheduled issues could easily exceed a day.[30] A dose error over 2% warrants tool downtime.[30]

Comparison to other lithography light sources

While state-of-the-art 193 nm ArF excimer lasers offer intensities of 200 W/cm2,[32] lasers for producing EUV-generating plasmas need to be much more intense, on the order of 1011 W/cm2.[33] A state-of-the-art ArF immersion lithography 120 W light source requires no more than 40 kW[34] while EUV sources are targeted to exceed 40 kW.[35]

The power target for EUV lithography is at least 250 W, while for other conventional lithography sources, it is much less.[30] For example, immersion lithography light sources target 90 W, dry ArF sources 45 W, and KrF sources 40 W. High-NA EUV sources are expected to require at least 500 W.[30]

EUV stochastic issues

Stochastic bridging failures as a function of dose-to-target. The probability of stochastic failure (P) is plotted as a function of dose to target 16 nm spaces on 36 nm pitch.
Stochastic bridging failures as a function of CD and pitch. Stochastic failures increase exponentially as feature size decreases, or as the same feature becomes more isolated.
Missing hole probability as a function of CD and pitch. The probability of missing holes also increases exponentially for smaller size or larger pitch/size ratio.
Complementary failure modes narrow the CD window.

EUV lithography is particularly sensitive to stochastic effects.[36] In a large population of features printed by EUV, although the overwhelming majority are resolved, some suffer complete failure to print, e.g, missing holes or bridging lines. A known significant contribution to this effect is the dose used to print.[37] This is related to the shot noise issue, to be discussed further below. The probability of stochastic failure increases exponentially as feature size decreases, and for the same feature size, increasing distance between features also significantly increases the probability.[37] Line cuts which are relatively widely spaced are a significant issue. Yield requires detection of stochastic failures down to below 1e-12.[37]

Multiple failure modes may exist for the same population. For example, besides bridging of trenches, the lines separating the trenches may be broken.[37] This can be attributed to stochastic resist loss.[36] This resist loss may occur from secondary electrons.[38]

The resist underlayer also plays an important role.[37] This could be due to the secondary electrons generated by the underlayer.[39] Secondary electrons may remove over 10 nm of resist from the exposed edge.[38][40]

The defect level is on the order of 1K/mm2.[41]

EUV-specific optical issues

Flare

Flare is the presence of background light originating from scattering off of surface features which are not resolved by the light. In EUV systems, this light can be EUV or out-of-band (OoB) light that is also produced by the EUV source. The OoB light adds the complication of affecting the resist exposure in ways other than accounted for by the EUV exposure. OoB light exposure may be alleviated by a layer coated above the resist, as well as 'black border' features on the EUV mask.[42] However, the layer coating inevitably absorbs EUV light, and the black border adds EUV mask processing cost.

Secondary Electrons

EUV light generates photoelectrons upon absorption by matter. These photoelectrons in turn generate secondary electrons, which slow down before engaging in chemical reactions.[43] It should be noted that at sufficient doses 40 eV electrons are known to penetrate 180 nm thick resist leading to development.[44] More details on secondary electrons in EUV photoresist exposure are provided below. At a dose of 160 μC/cm2, corresponding to 15 mJ/cm2 EUV dose assuming one electron/photon, 30 eV electrons removed 7nm of PMMA resist after standard development.[45] For a higher 30 eV dose of 380 μC/cm2, equivalent to 36 mJ/cm2 at one electron/photon, 10.4 nm of PMMA resist are removed.[46] These indicate the distances the electrons can travel in resist, regardless of direction.[47]

Reflective optics

A fundamental aspect of EUVL tools, resulting from the use of reflective optics, is the off-axis illumination (at an angle of 6 degrees, in different direction at different positions within the illumination slit)[48] on a multilayer mask. This leads to shadowing effects resulting in asymmetry in the diffraction pattern that degrade pattern fidelity in various ways as described below.[49]

Thick mask shadowing effects

Shadowing effect on an EUV mask. When the feature size on the mask is comparable to the thickness, the shadowing effect is more significant to the formed image, since the shadow clearance distance is not negligible compared to the feature size.

The oblique incidence in a reflective optical system leads to shadowing effects in the presence of a mask absorber. For example, one side (behind the shadow) would appear brighter than the other (within the shadow).[50]

H-V asymmetry

EUV H-V difference of focus. Horizontal and vertical features are focused differently in EUV optical systems. The numerical aperture (NA) also makes a difference.
EUV non-telecentricity. Left: Due to large multilayer reflection angle differences, one side of the illumination pupil results in more reflected light. Right: Consequently, illumination from one side will be dominant. This results in an optical path difference between diffraction orders with respect to defocus, leading to a tendency for the pattern to shift.

Most fundamentally, the behavior of light rays within the plane of reflection (affecting horizontal lines) is different from the behavior of light rays out of the plane of reflection (affecting vertical lines).[51] Most conspicuously, identically sized horizontal and vertical lines on the EUV mask are printed at different sizes on the wafer.

2-bar CD difference vs. focus. The difference between the widths of two adjacent horizontal lines varies as a function of focus.

Asymmetries in sets of parallel lines

The combination of the off-axis asymmetry and the mask shadowing effect leads to a fundamental inability of two identical features even in close proximity to be in focus simultaneously.[52] One of EUVL's key issues is the asymmetry between the top and bottom line of a pair of horizontal lines (the so-called "two-bar"). Some ways to partly compensate are the use of assist features as well as asymmetric illumination.[53]

An extension of the two-bar case to a grating consisting of many horizontal lines shows similar sensitivity to defocus.[54] It is manifest in the CD difference between the top and bottom edge lines of the set of 11 horizontal lines. The table below lists the CD difference over 100 nm focus range under quasar illumination (quasar illumination will be described in the section on optimum illumination vs. pitch).

Pitch Horizontal 11-bar Bottom-Top CD difference over 100 nm focus range (quasar)
36 nm 3.5 nm
40 nm 2.5 nm
44 nm 1.7 nm

For pitches of 40 nm or below, the linewidths are 20 nm or less, while the CD difference is at least 2.5 nm, resulting in at least 12.5% difference.

Two-bar occurrence in irregular layout. An irregular layout can include two-bar locations which are prone to asymmetric imaging.

Pattern shift from defocus (non-telecentricity)

Mask feature vertical placement

The use of reflection causes wafer exposure position to be extremely sensitive to the reticle flatness and the reticle clamp. Reticle clamp cleanliness is therefore required to be maintained. Small (mrad-scale) deviations in mask flatness in the local slope, coupled with wafer defocus.[55] More significantly, mask defocus has been found to result in large overlay errors.[56][57] In particular, for a 10nm node metal 1 layer (including 48 nm, 64 nm, 70 nm pitches, isolated, and power lines), the uncorrectable pattern placement error was 1 nm for 40 nm mask z-position shift.[58] This is a global pattern shift of the layer with respect to previously defined layers. However, features at different locations will also shift differently due to different local deviations from mask flatness, e.g., from defects buried under the multilayer. It can be estimated that the contribution of mask non-flatness to overlay error is roughly 1/40 times the peak-to-valley thickness variation.[59] With the blank peak-to-valley spec of 50 nm, ~1.25 nm image placement error is possible. Blank thickness variations up to 80 nm also contribute, which lead to up to 2 nm image shift.[60]

Wafer defocus
10nm node metal layer pattern shift vs defocus. Different patterns in the 10nm node metal layer (24 nm hp) are shifted differently through focus, depending on orientation and slit position as well as arrangement.

The off-axis illumination of the reticle is also the cause of non-telecentricity in wafer defocus, which consumes most of the 1.4 nm overlay budget of the NXE:3400 EUV scanner[61] even for design rules as loose as 100 nm pitch.[62] The worst uncorrectable pattern placement error for a 24 nm line was about 1.1 nm, relative to an adjacent 72 nm power line, per 80 nm wafer focus position shift at a single slit position; when across-slit performance is included, the worst error is over 1.5 nm in the wafer defocus window[58] In 2017, an actinic microscope mimicking a 0.33 NA EUV lithography system with 0.2/0.9 quasar 45 illumination showed that a 80 nm pitch contact array shifted -0.6 to 1.0 nm while a 56 nm pitch contact array shifted -1.7 to 1.0 nm relative to a horizontal reference line, within a +/- 50 nm defocus window.[63]

Wafer defocus also leads to image placement errors due to deviations from local mask flatness. If the local slope is indicated by an angle α, the image is projected to be shifted in a 4x projection tool by 8 α x (DOF/2) = 4 α DOF, where DOF is the depth of focus.[64] For a depth of focus of 100 nm, a small local deviation from flatness of 2.5 mrad (0.14°) can lead to a pattern shift of 1 nm.

Line tip effects

Tip-to-tip difficulty for dense line patterns. The gap between line ends is difficult to optimize simultaneously with dense lines.
Tip-to-side gap printing difficulty. The tip-to-side gap is one of the hardest features to print in a bidirectional pattern. The dipole-like illumination favors the minimum pitch but not other pitches.

A key challenge for EUV is the counter-scaling behavior of the line tip-to-tip (T2T) distance as half-pitch (hp) is scaled down.[65] This is in part due to lower image contrast for the binary masks used in EUV lithography, which is not encountered with the use of phase shift masks in immersion lithography.[66][67] The rounding of the corners of the line end leads to line end shortening,[68] and this is worse for binary masks.[69] The use of phase-shift masks in EUV lithography has been studied but encounters difficulties from phase control in thin layers[70] as well as the bandwidth of the EUV light itself.[71] More conventionally, optical proximity correction (OPC) is used to address the corner rounding and line-end shortening. In spite of this, it has been shown that the tip-to-tip resolution and the line tip printability are traded off against each other, being effectively CDs of opposite polarity.[72] In addition, the effectiveness of optical corrections depends on other non-optical causes such as resist blur and diffusion effects, which may also include secondary electron blur (discussed in the section on photoresist exposure).[73] Also, larger molecular weights and sizes appear to reduce corner rounding.[74]

In unidirectional metal layers, tip-to-tip spacing is one of the more severe issues for single exposure patterning. For the 40 nm pitch vertical lines, an 18 nm nominal tip-to-tip drawn gap resulted in an actual tip-to-tip distance of 29 nm with OPC (optical proximity correction),[65] while for 32 nm pitch horizontal lines, the tip-to-tip distance with a 14 nm nominal gap went to 31 nm with OPC.[75] These actual tip-to-tip distances define a lower limit of the half-pitch of the metal running in the direction perpendicular to the tip. In this case, the lower limit is around 30 nm. With further optimization of the illumination (discussed in the section on source-mask optimization), the lower limit can be further reduced to around 25 nm.[76]

For larger pitches, where conventional illumination can be used, the line tip-to-tip distance is generally larger. For the 24 nm half-pitch lines, with a 20 nm nominally drawn gap, the distance was actually 45 nm, while for 32 nm half-pitch lines, the same nominal gap resulted in a tip-to-tip distance of 34 nm.[75] With OPC, these become 39 nm and 28 nm for 24 nm half-pitch and 32 nm half-pitch, respectively.[77]

The printed space between a line tip and a perpendicular line it faces is 25-35 nm for 22 nm half-pitch lines (with a 20 nm nominally drawn gap).[77] For a 22 nm line-space pattern with 22 nm nominal gap, conventional illumination yields a 38 nm tip-to-line distance, while quasar illumination yields a 28 nm distance.[78] The tip-to-side gap is one of the hardest features to print in a bidirectional pattern.[77]

Summary of EUV Line Tip and Corner Effects:[79]

Corner Rounding Tip to Tip Tip to Side
~25 nm 28 nm 28 nm

Source: Semicon West 2017, IBM

The line end clearance distance of 28 nm essentially forces pitches to be at least 56 nm for EUV single exposure bi-directional patterning. 7nm node minimum metal pitch is already at 40 nm or below, while gate pitch can also be below 56 nm,[80][81] so this is an indication that multiple patterning would be needed even for EUV at 7nm.[82]

Slit position dependence

The direction of illumination is also highly dependent on slit position. Hence identical die patterns on different halves of the slit would get different OPC. This renders them uninspectable by die-to-die comparison, as they are no longer truly identical dies.

The slit position dependence is particularly difficult for the tilted patterns encountered in DRAM.[83] Besides the more complicated effects due to shadowing and pupil rotation, tilted edges are converted to stair shape, which may be distorted by OPC.

Aberrations across slit

Aberrations, originating from deviations of optical surfaces from subatomic (<0.1 nm) specifications[84] as well as thermal deformations[85][86] and possibly including polarized reflectance effects,[87] are also dependent on slit position,[88][86] as will be further discussed below, with regard to source-mask optimization (SMO). The thermally induced aberrations are expected to exhibit differences among different positions across the slit, corresponding to different field positions, as each position encounters different parts of the deformed mirrors.[89] Ironically, the use of substrate materials with high thermal and mechanical stability make it more difficult to compensate wavefront errors[90]

Enhancement opportunities for EUV patterning

Assist features

Assist feature OPC. Assist features help improve the image of isolated features (blue) to be more like dense features (gray). However, the more effective they are, the greater the risk that the assist feature will print (orange).
Pattern effect on SMO. A mere widening of the power rail (top and bottom of sample pattern) leads to significant changes in the optimized pupil as well as reduced focus window, even with the use of assist features.

Assist features are often used to help balance asymmetry from non-telecentricity at different slit positions, due to different illumination angles, starting at the 7 nm node.[91][92] However, the asymmetry is reduced but not completely eliminated, since the assist features mainly enhance the highest spatial frequencies, whereas intermediate spatial frequencies, which also affect feature focus and position, are not much affected. The coupling between the primary image and the self images is too strong for the asymmetry to be completely eliminated by assist features; only asymmetric illumination can achieve this.[53] Assist features may also get in the way of access to power/ground rails. Power rails are expected to be wider, which also limits the effectiveness of using assist features, by constraining the local pitch. Local pitches between 1x and 2x the minimum pitch forbid assist feature placement, as there is simply no room to preserve the local pitch symmetry. In fact, for the application to the two-bar asymmetry case, the optimum assist feature placement may be less than or exceed the two-bar pitch.[92] Depending on the parameter to be optimized (process window area, depth of focus, exposure latitude), the optimum assist feature configuration can be very different, e.g., pitch between assist feature and bar being different from two-bar pitch, symmetric or asymmetric, etc..

At pitches smaller than 58 nm, there is a tradeoff between depth of focus enhancement and contrast loss by assist feature placement.[92] Generally, there is still a focus-exposure tradeoff as the dose window is constrained by the need to have the assist features not print accidentally.

An additional concern comes from shot noise;[93] sub-resolution assist features (SRAFs) cause the required dose to be lower, so as not to print the assist features accidentally.[94] This results in fewer photons defining smaller features (see discussion in section on shot noise).

It is now known that the underlying mechanism for the asymmetry is different shadowing from different angles of incidence. Hence, reducing absorber thickness would be the most direct way to resolve the issue.[95]

Source-mask optimization

Source-mask optimization (SMO) is used to reduce pattern shift for different features in a metal layer (targeted for 16 nm CD with 32 nm anchor pitch) in a single exposure, but cannot satisfy every possible case.
Pitch effect on SMO. SMO carried out targeted for one pitch (32 nm in this case) may have varying performance for other pitches. Here 36 nm has best performance, but barely exceeds the lower NILS limit of 2.0

Due to the effects of non-telecentricity, standard illumination pupil shapes, such as disc or annular, are not sufficient to be used for feature sizes of ~20 nm or below (10 nm node and beyond).[62] Instead certain parts of the pupil (often over 50%) must be asymmetrically excluded. The parts to be excluded depend on the pattern. In particular, the densest allowed lines need to be aligned along one direction and prefer a dipole shape. For this situation, double exposure lithography would be required for 2D patterns, due to the presence of both X- and Y-oriented patterns, each requiring its own 1D pattern mask and dipole orientation.[96][97] There may be 200–400 illuminating points, each contributing its weight of the dose to balance the overall image through focus. Thus the shot noise effect (to be discussed later) critically affects the image position through focus, in a large population of features.

Double or multiple patterning would also be required if a pattern consists of sub-patterns which require significantly different optimized illuminations, due to different pitches, orientations, shapes, and sizes.

Impact of aberrations

Largely owing to the presence of residual aberrations,[98] the effectiveness of SMO varies across slit position.[99] At each slit position, there are different aberrations[88] and different azimuthal angles of incidence leading to different shadowing.[100] Consequently, there could be uncorrected variations across slit for aberration-sensitive features, which may not be obviously seen with regular line-space patterns.[92] At each slit position, although optical proximity correction (OPC), including the assist features mentioned above, may also be applied to address the aberrations,[101][102] they also feedback into the illumination specification,[103][104][105][106] since the benefits differ for different illumination conditions.[101] This would necessitate the use of different source-mask combinations at each slit position, i.e., multiple mask exposures per layer.[88]

Optimum illumination vs. pitch

Degradation of image quality with pitch reduction under conventional EUV illumination. This has motivated greater consideration of other illumination modes such as QUASAR.

The optimum illumination, taking into account both exposure dose and focus windows, is a strong function of pitch in the range between 32 nm and 48 nm (relevant to 7nm and 10nm foundry nodes), which is where most of the work on EUV application has been focused. For pitches larger than 44 nm, the illumination pupil shape is preferably conventional, which is a circular disc, possibly including a central obscuration to provide an annular appearance.[75] For pitches in the range 44 nm down to 34 nm, the optimum shape is no longer conventional or annular but more shaped like the "quasar" (Quadrupole-shaped annular)[107] source, i.e., an arc within each quadrant of the pupil.[75] For pitches of 32 nm and below, the optimum illumination becomes more dipole like, i.e., concentrated toward the top and bottom or the left and right ends of the pupil.[65] When source-mask optimization is performed, the resulting shape will resemble the closest of the standard set (conventional, annular, quasar, dipole). For pitches less than 41 nm, the central portion of the pupil must be excluded for a tool with NA=0.33, as 13.5 nm EUV light going through that portion would only contribute the zeroth diffraction order (unscattered light), effectively adding flare.[108]

Pitch Standard illumination shape closest to optimum
48 nm Conventional/Annular
44 nm Quasar or Conventional/Annular
40 nm Quasar
36 nm Quasar
32 nm Dipole
28 nm Dipole

Pitch-dependent focus windows

Best focus position vs. pitch. The best focus position varies strongly as a function of pitch.

In the 48-64 nm pitch range, the best focus position shifts roughly linearly as a function of pitch, by as much as 10-20 nm.[109] For the 34-48 nm pitch range, the best focus position shifts roughly linearly in the opposite direction as a function of pitch. This can be correlated with the phase difference between the zero and first diffraction orders.[110] Assist features, if they can fit within the pitch, were found not to reduce this tendency much, for a range of intermediate pitches,[111] or even worsened it for the case of 18-27 nm and quasar illumination.[112] 50 nm contact holes on 100 nm and 150 pitches had best focus positions separated by roughly 25 nm; smaller features are expected to be worse.[113] Contact holes in the 48-100 nm pitch range showed a 37 nm best focus range.[114] The best focus position vs. pitch is also dependent on resist.[115] Critical layers often contain lines at one minimum pitch of one polarity, e.g., darkfield trenches, in one orientation, e.g., vertical, mixed with spaces of the other polarity of the other orientation. This often magnifies the best focus differences, and challenges the tip-to-tip and tip-to-line imaging.[116]

Illuminations for advanced nodes

For the foundry "5nm" node, the minimum metal pitch for horizontal lines is taken to be around 32 nm,[117] for which dipole-like illumination is preferred, but the minimum metal pitch for vertical lines (parallel to the gates) is taken to be around 40 nm,[117] for which quasar-like illumination is preferred. Moreover, for the foundry "7nm" node, the minimum metal pitch for horizontal lines is taken to be around 40 nm,[117] for which quasar-like illumination is expected, while the minimum metal pitch for vertical lines can be taken to be around 50 nm,[117] for which conventional or annular illumination is preferred. For the quasar illumination, the best focus position varies strongly as a function of pitch, particularly 36-40 nm vs. 48-60 nm, as well as over the 48-72 nm range.[118] For these nodes, it is impossible to have a single EUV exposure illumination setting that fits both metal line directions at different respective pitches. Unidirectional metal layers are expected for these nodes anyway.[117] The line tip-to-tip gap in this case is expected to be kept small enough by using cut exposures in a multiple patterning scenario.[65]

Phase shift masks

Phase profile of attenuated phase shift mask for EUV. The phase profile (red) for an attenuated phase shift mask used with a partially transmitting EUV absorber does not match the ideal profile design (dotted), due to oblique incidence illumination and absorber edge scattering.

A commonly touted advantage of EUV has been the relative ease of lithography, as indicated by the ratio of feature size to the wavelength multiplied by the numerical aperture, also known as the k1 ratio. An 18 nm metal linewidth has a k1 of 0.44 for 13.5 nm wavelength, 0.33 NA, for example. For the k1 approaching 0.5, some weak resolution enhancement including attenuated phase shift masks has been used as essential to production with the ArF laser wavelength (193 nm),[119][120][121][122][123][124] whereas this resolution enhancement is not available for EUV.[125][126] In particular, 3D mask effects including scattering at the absorber edges distort the desired phase profile.[126] Also, the phase profile is effectively derived from the plane wave spectrum reflected from the multilayer through the absorber rather than the incident plane wave.[127] Without absorbers, near-field distortion also occurs at an etched multilayer sidewall due to the oblique incidence illumination;[128] some light traverses only a limited number of bilayers near the sidewall.[50] Additionally, the different polarizations (TE and TM) have different phase shifts.[50]

Photoresist exposure

When an EUV photon is absorbed, photoelectrons and secondary electrons are generated by ionization, much like what happens when X-rays or electron beams are absorbed by matter.[129] 10 mJ/cm2 EUV photon dose results in the generation of 109 uC/cm2 dose of photoelectrons. The more highly absorbing resist removes more light in the top of the resist, leaving less for the bottom of the resist. The larger absorption leads to larger, more significant differences between the absorbed doses at the top and the bottom of the resist.

resist depth absorption (1/um) absorption (5/um) absorption (20/um)
Top 10 nm 1% 5% 18%
10–20 nm deep 1% 4.5% 15%
20–30 nm deep 1% 4.5% 12%
30–40 nm deep 1% 4% 10%
40–50 nm deep 1% 4% 8%

In other words, the less absorbing the resist, the more vertically uniform the absorption. Conventionally, photoresists are made as transparent as possible to strive for this vertical uniformity, which enables straighter resist profiles. On the other hand, for EUV, this conflicts with the goal of increasing absorption for more sensitivity at current EUV power levels. Shot noise is another concern, to be explained further below.

Impact of photoelectron and secondary electron travel on resolution

Resist loss from 80 eV EUV photoelectrons. The 80 eV photoelectron is expected to incur ~7.5 nm resist loss, which would make it difficult to control resist dimensions to within ~15 nm.
Resist loss from 30 eV decelerated EUV photoelectrons. 30 eV electrons (from the slowed down photoelectrons) result in significant resist loss as well as crosslinking at higher doses in PMMA.

A study by the College of Nanoscale Science and Engineering (CNSE) presented at the 2013 EUVL Workshop indicated that, as a measure of EUV photoelectron and secondary electron blur, 50–100 eV electrons easily penetrated beyond 15 nm of resist thickness (PMMA or commercial resist), indicating more than 30 nm range of resist affected centered on the EUV point of absorption, for doses exceeding 200–300 uC/cm2.[130] This can be compared with the image contrast degradation reported for sub-40 nm pitches later in 2015.[39]

Depth of focus is affected by EUV photoelectron emission from underlying layer.

The process of electron penetration through a resist is essentially a stochastic process; there is a finite probability that resist exposure by released electrons can occur quite far from the point of photon absorption.[131] Increasing the dose increases the number of far-reaching electrons, resulting in more extended resist loss. A leading EUV chemically amplified resist exposed to 80 eV electrons at a dose up to 80 uc/cm2 showed up to 7.5 nm resist thickness loss.[132] For an open-source resist exposed near 200 uC/cm2 by 80 eV electrons, the resist thickness lost after post-exposure bake and development was around 13 nm, while doubling the dose resulted in increasing the loss to 15 nm.[38] On the other hand, for doses >500 uc/cm2, the resist begins to thicken due to crosslinking.[132]

The degree of photoelectron emission from the layer underlying the EUV photoresist has been shown to affect the depth of focus.[133] Unfortunately, hardmask layers tend to increase photoelectron emission, degrading the depth of focus.

Charging and electron trapping

Due to the production of secondary electrons of various energies, the charge of the resist may locally fluctuate.[134] An EUV exposure with less blur leads to more pronounced charge differences at the feature edge,[135] which can lead to larger electric fields.[136] Such large electric fields have been observed to lead to dielectric breakdown.[134] The trapping of secondary electrons leads to a reduction of secondary electrons emitted from the film;[134] however, the trap sites may themselves be depleted, resulting in effectively extended secondary electron blur for larger doses.[137] Electron trapping is predicted to occur as part of polaronic behavior,[138][139] which confines the electron's final energy deposition to trap site locations. The polaron size can be quite large in resists, e.g., 46 nm in PMMA.[139]

DUV sensitivity

It should be noted that EUV resists are also exposable by wavelengths longer than EUV, particular VUV and DUV wavelengths in the 150–250 nm range.[140]

Resist outgassing

Outgassing contamination vs. EUV dose: The increase of dose to size (Esize) to reduce shot noise and roughness comes at price of increased contamination from outgassing. The contamination thickness shown here is relative to a reference resist.

Due to the high efficiency of absorption of EUV by photoresists, heating and outgassing become primary concerns. Organic photoresists outgas hydrocarbons[141] while metal oxide photoresists outgas water and oxygen[142] and metal (in a hydrogen ambient); the last is uncleanable.[26] The carbon contamination is known to affect multilayer reflectivity[143] while the oxygen is particularly harmful for the ruthenium capping layers on the EUV multilayer optics.[144]

Contamination effects

One well-known issue is contamination deposition on the resist from ambient or outgassed hydrocarbons, which results from EUV- or electron-driven reactions.[145] Also, hydrogen gas in the tool chambers interacts with tin in the light source or resist to form SnH4 which reaches the coatings of the EUV optical surfaces, leaving Sn which is subsequently unremovable.[25][26] Hydrogen also reacts with metal-containing compounds to reduce them to metal,[146] and/or diffuses through to the multilayer, eventually causing blistering.[147] Hydrogen also reacts with resists to etch[148] or decompose[149] them.

Membrane

To help mitigate the above effects, the latest EUV tool introduced in 2017, the NXE:3400B, features a membrane that separates the wafer from the projection optics of the tool, protecting the latter from outgassing from the resist on the wafer.[150] The membrane contains layers which absorb DUV and IR radiation, and transmits 85-90% of the incident EUV radiation. There is of course, accumulated contamination from wafer outgassing as well as particles in general (although the latter are out of focus, they may still obstruct light).

Mask defects

EUV mask defect printability. Defects with atomic-scale heights can affect dimensions printed by EUV even though buried by many layers. Source: Lawrence Berkeley National Laboratory and Intel.
EUV defect printability vs. pitch. The printability (here 10% CD) of a defect of a given height and width varies with pitch. Note that even the surface roughness on the multilayer here can have noticeable impact.

Reducing defects on extreme ultraviolet (EUV) masks is currently one of the most critical issues to be addressed for commercialization of EUV lithography.[151] Defects can be buried underneath or within the multilayer stack[152] or be on top of the multilayer stack. Mesas or protrusions form on the sputtering targets used for multilayer deposition, which may fall off as particles during the multilayer deposition.[153] In fact, defects of atomic scale height (0.3–0.5 nm) with 100 nm FWHM can still be printable by exhibiting 10% CD impact.[154] IBM and Toppan reported at Photomask Japan 2015 that smaller defects, e.g., 50 nm size, can have 10% CD impact even with 0.6 nm height, yet remain undetectable.[155]

Furthermore, the edge of a phase defect will further reduce reflectivity by more than 10% if its deviation from flatness exceeds 3 degrees, due to the deviation from the target angle of incidence of 84 degrees with respect to the surface. Even if the defect height is shallow, the edge still deforms the overlying multilayer, producing an extended region where the multilayer is sloped. The more abrupt the deformation, the narrower the defect edge extension, the greater the loss in reflectivity.

EUV mask defect repair is also more complicated due to the across-slit illumination variation mentioned above. Due to the varying shadowing sensitivity across the slit, the repair deposition height must be controlled very carefully, being different at different positions across the EUV mask illumination slit.[156]

Multilayer damage

Multiple EUV pulses at less than 10 mJ/cm2 could accumulate damage to a Ru-capped Mo/Si multilayer mirror optic element.[157] The angle of incidence was 16° or 0.28 rads, which is within the range of angles for a 0.33 NA optical system.

Pellicles

Production EUV tools need a pellicle to protect the mask from contamination. Currently, the pellicle is not yet guaranteed to withstand 250 W power necessary for high volume manufacturing; the specification is 40 W.[158]

Pellicles are normally expected to protect the mask from particles during transport, entry into or exit from the exposure chamber, as well as the exposure itself. Without pellicles, particle adders would reduce yield, which has not been an issue for conventional optical lithography with 193 nm light and pellicles. However, for EUV, the feasibility of pellicle use is severely challenged, due to the required thinness of the shielding films to prevent excessive EUV absorption. Particle contamination would be prohibitive if pellicles were not stable above 200 W, i.e., the targeted power for manufacturing.[159]

Heating of the EUV mask pellicle (film temperature up to 750 K for 80 W incident power) is a significant concern, due to the resulting deformation and transmission decrease.[160] ASML developed a 70 nm thick polysilicon pellicle membrane, which allows EUV transmission of 82%; however, less than half of the membranes survived expected EUV power levels.[161] SiNx pellicle membranes also failed at 82 W equivalent EUV source power levels.[162] At target 250 W levels, the pellicle is expected to reach 686 degrees Celsius,[163] well over the melting point of aluminum. Alternative materials need to allow sufficient transmission as well as maintain mechanical and thermal stability. However, graphite, graphene or other carbon nanomaterials (nanosheets, nanotubes) are damaged by EUV due to the release of electrons[164] and also too easily etched in the hydrogen cleaning plasma expected to be deployed in EUV scanners.[165] Hydrogen plasmas can also etch silicon as well.[166][167] A coating helps improve hydrogen resistance, but this reduces transmission and/or emissivity, and may also affect mechanical stability (e.g., bulging).[168] The current lack of any suitable pellicle material, aggravated by the use of hydrogen plasma cleaning in the EUV scanner,[169][170] presents an obstacle to volume production.[171]

Hydrogen bulging defects

Hydrogen used in recent EUV systems can penetrate into the EUV mask layers. Once trapped, bulge defects were produced.[172] These defects arise after a sufficient number of EUV mask exposures in the hydrogen environment.

Throughput-scaling limits

The resolution of EUV lithography for the future faces challenges in maintaining throughput, i.e., how many wafers are processed by an EUV tool per day. These challenges arise from smaller fields, additional mirrors, and shot noise. In order to maintain throughput, the power at intermediate focus (IF) must be continually increased.

Reduced fields

Reduction of field size by demagnification. Increasing the demagnification from 4X to 8X in one dimension would split the original full imaging field into two parts to preserve the same die area (26 mm × 33 mm).
Field stitching. Stitching together exposure fields is a concern where critical features cross a field boundary (red dotted line).

Preparation of an anamorphic lens with an NA between 0.5 and 0.6 is underway as of 2016. The demagnification will be 8X in one dimension and 4X in the other, and the angle of reflection will increase.[173]

Higher demagnification will increase the mask size or reduce the size of the printed field. Reduced field size would divide full-size chip patterns (normally taking up 26 mm × 33 mm) among two or more conventional 6-inch EUV masks. Large (approaching or exceeding 500 mm2) chips, typically used for GPUs[174] or servers,[175] would have to be stitched together from two or more sub-patterns from different masks.[176] Without field stitching, die size would be limited. With field stitching, features that cross field boundaries would have alignment errors, and the extra time required to change masks would reduce the throughput of the EUV system.[177]

Shot noise: the statistical resolution limit

Shot noise causing significant CD variations. A set of holes patterned at 64 nm pitch shows significant effects of shot noise with an EUV dose of just over 10 mJ/cm2. A larger dose would result in the same range of variation over a larger sample size.

With the natural Poisson distribution due to the random arrival and absorption times of the photons,[178] there is an expected natural dose (photon number) variation of at least several percent 3 sigma, making the exposure process susceptible to stochastic variations. The dose variation leads to a variation of the feature edge position, effectively becoming a blur component. Unlike the hard resolution limit imposed by diffraction, shot noise imposes a softer limit, with the main guideline being the ITRS line width roughness (LWR) spec of 8% (3s) of linewidth.[179] Increasing the dose will reduce the shot noise, but this also requires higher source power.

A 10 nm wide, 10 nm long assist feature region, at a target non-printing dose of 15 mJ/cm2, with 10% absorption, is defined by just over 100 photons, which leads to a 6s noise of 59%, corresponding to a stochastic dose range of 6 to 24 mJ/cm2, which could affect the printability.

A 2017 study by Intel showed that for semi-isolated vias (whose Airy disk can be approximated by a Gaussian), the sensitivity of CD to dose was particularly strong,[180] strong enough that a reduction of dose could nonlinearly lead to failure to print the via.

Via printing failure from noise-induced dose reduction. Shot noise-induced dose reduction could in extreme cases lead to via printing failure (CD->0).

Minimum dose to restrain shot noise for shrinking areas:

length edge width area dose for 3s=7% noise (1800 absorbed EUV photons, 33% absorption)
40 nm 4 nm 160 nm2 50 mJ/cm2
25 nm 4 nm 100 nm2 78 mJ/cm2
25 nm 2 nm 50 nm2 159 mJ/cm2
20 nm 2 nm 40 nm2 198 mJ/cm2
15 nm 2 nm 30 nm2 264 mJ/cm2

The two issues of shot noise and EUV-released electrons point out two constraining factors: 1) keeping dose high enough to reduce shot noise to tolerable levels, but also 2) avoiding too high a dose due to the increased contribution of EUV-released photoelectrons and secondary electrons to the resist exposure process, increasing the edge blur and thereby limiting the resolution. Aside from the resolution impact, higher dose also increases outgassing[181] and limits throughput, and crosslinking[182] occurs at very high dose levels. For chemically amplified resists, higher dose exposure also increases line edge roughness due to acid generator decomposition.[183]

As mentioned earlier, a more absorbing resist actually leads to less vertical dose uniformity. This also means shot noise is worse toward the bottom of a highly absorbing EUV resist layer.

Even with higher absorption, EUV has a larger shot noise concern than the ArF (193 nm) wavelength, mainly because it is applied to smaller dimensions and current dose targets are lower due to currently available source power levels.

Wavelength Resist type Absorbance Thickness Absorption Target Dose Absorbed photon dose
ArF (193 nm) CAR 1.2/μm[184] 0.08 μm 9% 30 mJ/cm2[185] 27 photons/nm2
EUV (13.5 nm) CAR 5/μm[186] 0.05 μm 22% 30 mJ/cm2[187] 4.5 photons/nm2
EUV (13.5 nm) Metal oxide 20/μm[186] 0.02 μm 33% 30 mJ/cm2[187] 7 photons/nm2

As can be seen above, at the target incident dose levels, significantly fewer EUV photons are absorbed in EUV resists compared to ArF photons in ArF resists. Despite greater transparency of the resist, the incident photon flux is about 14 times larger (193/13.5) for the same energy dose per unit area. The resist thickness is limited by transparency as well as resist collapse[188] and resist strip[189] considerations.

Uptime and productivity

Current throughput at customer site is 1,200 wafers per day with 80% availability,[190] while conventional tools produce 5,000 wafers per day with 95% availability.[191] As of 2017, the cost of a 7 nm process with 3 metal layers patterned by single EUV exposure is still 20% higher than the current 10 nm non-EUV multipatterned process.[192] Hence, multiple patterning with immersion lithography has been deployed for volume manufacturing, while deployment of EUV is expected in 2018–2020.

Deployment history

The deployment of EUVL for volume manufacturing has been delayed for a decade,[193][194] though the forecasts for deployment had timelines of 2–5 years. Deployment was targeted in 2007 (5 years after the forecast was made in 2002),[193] in 2009 (5 years after the forecast), in 2012–2013 (3–4 years), in 2013–2015 (2–4 years),[195][196] in 2016–2017 (2–3 years),[197] and in 2018–2020 (2–4 years after the forecasts).[198][199] However, deployment could be delayed further.[200]

Shipments of the NXE:3350 system began at the end of 2015, with claimed throughput of 1,250 wafers/day or 65 wafers per hour (WPH) assuming 80% uptime.[201][202] By comparison, the 300-unit installed base of NXT 193-nm immersion systems had 96% availability and 275 WPH in 2015.[203][204]

Year WPH forecast WPH Availability forecast Avail.
2014 55[205] 70[206] 50%[205]
2015 55[207] 75;[205] 125[206] 70%[208] 70%[205]
2016 85[208] 125[206] 80%[208] 80%[205]
2017 125[208] 85%[208]
2018 140[208] 90%[208]

Twenty EUV units were shipped in 2010–2016, short of the number that would be required for volume manufacturing. By comparison, ASML shipped over 60 NXT 193-nm immersion systems in 2016, and forecasts that 48 EUV units will be shipped in 2019.[209][210] Six NXE:3100 units were shipped in 2010–2011.[211][212] Eight NXE:3300B units were shipped in 2013Q3–2015Q1,[204] fewer than the forecast 11 units.[213] Two NXE:3350B units were shipped in late 2015,[203] compared to a forecast six units.[204] Four units were shipped in 2016, compared to a forecast six or seven units from the start of the year.[214]

As of 2016, 12 units were forecast to ship in 2017,[214] and 24 units in 2018.[209] However, the shipment forecast for 2017 was halved at the beginning of the year to six or seven units.[215] The NXE:3350B is planned to be discontinued by 2017, to be replaced by the NXE:3400B. At the time of shipping of the first NXE:3400B,[216] eight NXE:3300B and six NXE:3350B systems were up and working in the field.[217]

A total of ten NXE3400B systems were shipped in 2017.[218] In Q1 2018, three EUV systems were shipped.[219] IN Q2 2018, 4 more were shipped.[220]

Use with Multiple Patterning

EUV layout splitting due to different illuminations. This layout consists of vertical dense lines and horizontal sparse lines requiring two different illuminations optimized for each. Consequently, it would need to be split, even for EUV lithography.

In H2 2018, TSMC confirmed that its 5nm EUV scheme still used multi-patterning,[221] also indicating that mask count did not decrease from its 7nm node, which used extensive DUV multi-patterning, to its 5nm node, which used extensive EUV.[222] EDA vendors also indicated the continued use of multi-patterning flows.[223][224] While Samsung introduced its own 7nm process with EUV single patterning,[225] it encountered severe photon shot noise causing excessive line roughness, which required higher dose, resulting in lower throughput.[226] TSMC's 5nm node uses even tighter design rules.[227] Samsung indicated smaller dimensions would have more severe shot noise.[226]

In Intel's complementary lithography scheme at 20 nm half-pitch, EUV would be used only in a second line-cutting exposure after a first 193 nm line-printing exposure.[228]

Multiple exposures would also be expected where two or more patterns in the same layer, e.g., different pitches or widths, must use different optimized source pupil shapes.[229][230][231][232] For example, when considering a staggered bar array of 64 nm vertical pitch, changing the horizontal pitch from 64 nm to 90 nm changes the optimized illumination significantly.[29]

Single patterning extension: Anamorphic High-NA

A return to extended generations of single exposure patterning would be possible with higher numerical aperture (NA) tools. An NA of 0.45 using 13.5 nm wavelength could require retuning of a few percent.[233] Increasing demagnification could avoid this retuning, but the reduced field size severely affects large patterns (one die per 26 mm × 33 mm field) such as the many-core multi-billion transistor 14 nm Xeon chips.[234] by requiring field stitching.

In 2015, ASML disclosed details of its anamorphic next-generation EUV (13.5 nm wavelength) scanner, with an NA of 0.55. The demagnification is increased from 4x to 8x only in one direction (in the plane of incidence).[235] However, the 0.55 NA has a much smaller depth of focus than immersion lithography.[236] Also, an anamorphic 0.52 NA tool has been found to exhibit too much CD and placement variability for 5 nm node single exposure and multi-patterning cutting.[237]

Depth of focus[238] being reduced by increasing NA is also a concern,[239] especially in comparison with multipatterning exposures using 193 nm immersion lithography:

wavelength refractive index NA DOF (normalized)[238]
193 nm 1.44 1.35 1
13.5 nm 1 0.33 1.17
13.5 nm 1 0.55 0.4

The first high-NA tools are expected by 2020 at earliest.[240]

Beyond EUV wavelength

A much shorter wavelength (~6.7 nm) would be beyond EUV, and is often referred to as BEUV (Beyond Extreme UltraViolet).[241] A shorter wavelength would have worse shot noise effects without ensuring sufficient dose.[242]

References

  1. Intel 7nm by 2019
  2. Globalfoundries EUV by 2020
  3. Samsung 7nm by 2020
  4. TSMC 5nm by 2020
  5. EUV collector cleaning
  6. H. Komori et al., Proc. SPIE 5374, pp. 839–846 (2004).
  7. B. A. M. Hansson et al., Proc. SPIE 4688, pp. 102–109 (2002).
  8. S. N. Srivastava et al., J. Appl. Phys.' 102, 023301 (2007).
  9. H. S. Kim, Future of Memory Devices and EUV Lithography, 2009 EUV Symposium
  10. H. Mizoguchi, "Laser Produced Plasma EUV Light Source Gigaphoton Update," EUVL Source Workshop, May 12, 2008.
  11. Gigaphoton
  12. Cymer SPIE 2018
  13. Zeiss 2018 EUVL Workshop update
  14. SPIE 2007 paper
  15. ASML, 2016 EUVL Workshop, p.14
  16. Y. Wang and Y. Liu, Proc. SPIE 9283, 928314 (2014).
  17. R. Capelli et al., Proc. SPIE 9231, 923109 (2014).
  18. M. van den Brink et al., Proc. SPIE 2726 (1996).
  19. T. Schmoeller et al., Proc. SPIE 6921, 69211B (2008).
  20. Tao, Y.; et al. (2005). "Characterization of density profile of laser-produced Sn plasma for 13.5 nm extreme ultraviolet source". Appl. Phys. Lett. 86 (20): 201501. doi:10.1063/1.1931825.
  21. Sn vs. Xe ions as EUV light source
  22. I. Fomenkov et al., Adv. Opt. Tech. 6, 173 (2017).
  23. I. Fomenkov, 2017 EUV Source Workshop, p. 56.
  24. 1 2 Hydrogen mediated transport of Sn to Ru film surface
  25. 1 2 3 Metal outgassing study at EIDEC.
  26. R. Rokitski et al., Proc. SPIE 7640, 76401Q (2010).
  27. M. van de Kerkhof et al., Proc. SPIE 10143, 101430D (2017).
  28. 1 2 Y. Chen et al., J.Vac. Sci. Tech. B35, 06G601 (2017).
  29. 1 2 3 4 5 H. Mizoguchi et al., 2017 EUV-FEL Workshop, p. 4.
  30. M. A. van den Brink et al., Proc. SPIE 2726, 734 (1996).
  31. Paetzel, R.; et al. (2003). "Excimer lasers for superhigh NA 193-nm lithography". Proc. SPIE. 5040: 1665. doi:10.1117/12.485344.
  32. Harilal, S. S.; et al. (2006). "Spectral control of emissions from tin doped targets for extreme ultraviolet lithography". J. Phys. D. 39 (3): 484. doi:10.1088/0022-3727/39/3/010.
  33. T. Asayama et al., Proc. SPIE vol. 8683, 86831G (2013).
  34. ASML update Nov. 2013, Dublin
  35. 1 2 P. De Bisschop, “Stochastic effects in EUV lithography: random, local CD variability, and printing failures”, J. Micro/Nanolith. MEMS MOEMS 16(4), 041013 (2017).
  36. 1 2 3 4 5 P. De Bisschop and E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  37. 1 2 3 A. Narasimhan et al., Proc. SPIE 9422, 942208 (2015).
  38. 1 2 N. Felix et al., Proc. SPIE 9776, 97761O (2015).
  39. S. Bhattarai, PhD Thesis,"Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography," U. Calif. Berkeley, 2017.
  40. S. Larivière et al., Proc. SPIE 10583, 105830U (2018).
  41. [https://www.osapublishing.org/DirectPDFAccess/A50026DB-B666-0FFF-2EDEB1F874CF4033_360283/oe-25-5-4621.pdf?da=1&id=360283&seq=0&mobile=no J. Heo et al., Opt. Exp. 25, 4621 (2017).
  42. . Torok et al., "Secondary Electrons in EUV Lithography", J. Photopol. Sci. and Tech., 26, 625 (2013).
  43. K. Ishii and T. Matsuda, Jap. J. Appl. Phys. 29, 2212 (1990).
  44. A. Thete et al., Proc. SPIE 9422, 94220A (2015).
  45. B. Sun thesis, p. 34
  46. S. Bhattarai, Study of Line Edge Roughness and Interactions of Secondary Electrons in Photoresists for EUV Lithography, 2017, p. 100.
  47. L. Peters, "Double Patterning Leads Race for 32 nm", Semiconductor International, October 18, 2007.
  48. M. Sugawara et al., J. Vac. Sci. Tech. B 21, 2701 (2003).
  49. 1 2 3 Y. Deng et al., Proc. SPIE 5037, 302 (2003).
  50. G. McIntyre et al., Proc. SPIE vol. 7271, 72711C (2009).
  51. T. Last et al., Proc. SPIE 9985, 99850W (2016).
  52. 1 2 T. Last et al., Proc. SPIE vol. 10143, 1014311 (2017).
  53. W. Gao et al., Proc. SPIE vol. 10143, 101430I (2017).
  54. EUV Mask Flatness Requirements
  55. T. Schmoeller et al., Proc. SPIE vol. 6921, 69211B (2008).
  56. P. Liu et al., Proc. SPIE vol. 8679, 86790W (2013).
  57. 1 2 M. Sugawara et al., Proc. SPIE 9048, 90480V (2014).
  58. X. Chen et al., Proc. SPIE 10143, 101431F (2017).
  59. X. Chen et al., Proc. SPIE vol. 10143, 101431F (2017).
  60. "ASML: Products - TWINSCAN NXE:3400B". asml.com.
  61. 1 2 X. Liu et al., Proc. SPIE vol. 9048, 90480Q (2014).
  62. O. Wood et al., Proc. SPIE 10450, 1045008 (2017).
  63. S. Yoshitake et al., EUV Mask Flatness Requirements: E-beam Mask Writer Supplier Perspective.
  64. 1 2 3 4 E. van Setten et al., Proc. SPIE 9661, 96610G (2015).
  65. C. S. Choi et al., Proc. SPIE 9235, 92351R (2014).
  66. http://www.lithoguru.com/textbook/Chapter10_Figures.ppt, p. 37.
  67. C. A. Mack, Microlith. World, 9-4, 25 (2000)
  68. J. S. Petersen et al., Proc. SPIE 3546, 288 (1998).
  69. L. Yuan et al., Proc. SPIE 8322, 832229 (2012).
  70. https://www2.eecs.berkeley.edu/Pubs/TechRpts/2017/EECS-2017-121.html
  71. Corner rounding in EUV photoresist
  72. 1 2 3 4 E. van Setten et al., Intl. Symp. on EUV Lithography, 2014.
  73. V. M. Blanco Carballo et al., Proc. SPIE 10143, 1014318 (2017).
  74. 1 2 3 E. van Setten et al., Proc. SPIE 9231, 923108 (2014).
  75. K. van Ingen Schenau, 2013 EUVL Symposium.
  76. Semicon West Advanced Interconnect Challenges
  77. semiwiki - 7nm process details
  78. L. T. Clark et al., Microelec. Journ. 53, 105 (2016).
  79. ASML 2014 Investor Day slide 46
  80. T-S. Eom et al., Proc. SPIE 8679, 86791J (2013).
  81. K. A. Goldberg et al., Proc. SPIE 5900, 59000G (2005).
  82. Y. Liu and Y. Li, Opt. Eng. 55, 095108 (2016).
  83. 1 2 Adaptive Optics to Counteract Thermal Aberrations: System Design for EUV-Lithography with Sub-nm Precision, p.31
  84. T. S. Jota and R. A. Chipman, Proc. SPIE 9776, 977617 (2016).
  85. 1 2 3 Challenges for models
  86. M. Habets et al., Proc. SPIE 9776, 97762D (2016).
  87. M. Bayraktar et al., Opt. Exp. 22, 30623 (2014).
  88. F. Jiang et al., Proc. SPIE vol. 9422, 94220U (2015).
  89. 1 2 3 4 I. Mochi et al., Proc. SPIE 9776, 97761S (2015).
  90. Understanding EUV Shot Noise
  91. D. Civay et al., Proc. SPIE 9048, 90483D (2014).
  92. T. Last et al., J. Micro/Nanolith. MEMS MOEMS 15, 043508 (2016).
  93. A-Y. Je et al., Proc. SPIE 7823, 78230Z (2010).
  94. T. Huynh-Bao et al., Proc. SPIE 9781, 978102 (2016).
  95. V. Philipsen et al., Proc. SPIE 9235, 92350J (2014).
  96. W. Gillijns et al., Proc. SPIE 10143, 1014314 (2017).
  97. R. Capelli et al., Proc. SPIE 9231, 923109 (2014).
  98. 1 2 Y-G Wang et al., Proc. SPIE 10143, 1014320 (2017).
  99. US Patent 9715170.
  100. S. Nagahara et al., Proc. SPIE 7640, 76401H (2010).
  101. W. Gillijns et al., Proc. SPIE 10143, 1014314 (2017).
  102. L. Pang et al., Proc. SPIE 7520, 75200X (2009).
  103. S. D. Hsu and J. Liu, Adv. Opt. Tech. vol. 6, 293 (2017).
  104. Semicon/Japan 99
  105. http://slideplayer.com/slide/6214703/20/images/31/Image+formation+Number+of+diffracted+orders.jpg
  106. C. Krautschik et al., Proc. SPIE 4343, 392 (2001).
  107. A. Erdmann, P. Evanschitzky, and T. Fuhner, Proc. SPIE 7271, 72711E (2009).
  108. A. Erdmann et al., J. Micro/Nanolith. MEMS MOEMS 15, 021205 (2016).
  109. M. Burkhardt and A. Raghunathan, Proc. SPIE 9422, 94220X (2015).
  110. Z. Zhu et al., Proc. SPIE 5037, 494 (2003)
  111. V. Philipsen et al., Proc. SPIE 10143, 1014310 (2017).
  112. P. P. Naulleau et al., Proc. SPIE 6151, 61510Y (2006).
  113. A. Erdmann et al., J. Micro/Nanolith. MEMS MOEMS 15(2), 021205 (2016).
  114. 1 2 3 4 5 L. Liebmann et al. Proc. SPIE 10148, 101480F (2017).
  115. V. Phiipsen et al., Proc. SPIE 10143, 104310(2017).
  116. C-H. Chang et al., Proc. SPIE 5377, 902 (2004).
  117. T. Devoivre et al., MTDT 2002.
  118. L. C. Choo et al., Proc. SPIE vol. 4000, 1193 (2000).
  119. J. Word and K. Sakajiri, Proc. SPIE 6156, 61561I (2006).
  120. T. Winkler et al., Prod. SPIE 5754, 1169 (2004).
  121. Y. Borodovsky et al., Proc. SPIE 4754, 1 (2002).
  122. S-S. Yu et al., Proc. SPIE 8679, 86791L (2013).
  123. 1 2 A. Erdmann et al., Proc. SPIE 10583, 1058312 (2018).
  124. Eigenmode analysis of EM fields in EUV masks
  125. Ultra-high efficiency EUV etched phase-shift mask
  126. B. L. Henke et al., J. Appl. Phys. 48, pp. 1852–1866 (1977).
  127. CNSE 2013 International Workshop on EUV Lithography
  128. J. Torok et al., J. Photopolymer Sci. & Tech., 27, 611 (2014).
  129. 1 2 Y. Kandel et al., Proc. SPIE 10143, 101430B (2017).
  130. D. D. Simone et al., Proc. SPIE 10143, 101430R (2017).
  131. 1 2 3 A. Thete et al., Phys. Rev. Lett. 266803 (2017).
  132. L. Wisehart et al., Proc. SPIE 9776, 97762O (2016).
  133. Electric field for flat sheets of charge
  134. P. de Schepper et al., Proc. SPIE 9425, 942507 (2015).
  135. M. Dapor, M. Ciappa, and W. Fichtner, J. Micro/Nanolith. MEMS MOEMS 9, 023001 (2010).
  136. 1 2 Z. G. Song et al., J. Phys. D: Appl. Phys. 30, 1561 (1997).
  137. J. M. Roberts et al., Proc. SPIE 7273, 72731W (2009).
  138. G. Denbeaux et al., 2007 European Mask and Lithography Conference.
  139. I. Pollentier et al., Proc. SPIE vol. 7972, 797208 (2011).
  140. G. Denbeaux, 2009 Intl. Workshop on EUV Lithography.
  141. J. Y. Park et al., J. Vac. Sci. Tech. B29, 041602 (2011).
  142. J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 118–130 (2006).
  143. e.g., The Denitridation of Nitrides Under Hydrogen
  144. D. T. Elg et al., J. Vac. Sci. Tech. A 34, 021305 (2016).
  145. B. Thedjoisworo et al., J. Vac. Sci. Tech. A 30, 031303 (2012).
  146. Metal Oxide Resist Outgassing
  147. M. van de Kerkhof et al., Proc. SPIE 10143, 101430D (2017).
  148. "Getting up to speed with roadmap requirements for extreme-UV lithography". spie.org.
  149. "Fast Simulation Methods for Non-Planar Phase and Multilayer Defects in DUV and EUV Photomasks for Lithography". berkeley.edu.
  150. H. Yu et al., J. Vac. Sci. Tech. A31, 021403 (2013).
  151. S. Huh et al., Proc. SPIE 7271 (2009).
  152. K. Seki et al., Proc. SPIE 9658, 96580G (2015).
  153. A. Garetto et al., J. Micro/Nanolith. MEMS MOEMS 13, 043006 (2014).
  154. M. Muller et al., Appl. Phys. A vol. 108, 263 (2012).
  155. 2016 EUV Mask Pellicle TWG update
  156. EUVL activities in South Korea (including Samsung and SKHynix)
  157. I-S. Kim et al., Proc. SPIE vol. 8322, 83222X (2012).
  158. C. Zoldeski et al., Proc. SPIE vol. 9048, 90481N (2014).
  159. D. L. Goldfarb, Dec. 2015 BACUS Newsletter.
  160. EUV issues continue
  161. A. Gao et al., J. Appl. Phys. 114, 044313 (2013).
  162. E. Gallagher et al., Proc. SPIE vol. 9635, 96350X (2015).
  163. C. Ghica et al., Rom. Rep. in Phys., vol. 62, 329-340 (2010).
  164. L. Juan et al., Chin. Phys. B, vol., 22, 105101 (2013).
  165. I. Pollentier et al., Proc. SPIE vol. 10143, 101430L (2017).
  166. H. Oizumi et al., Proc. SPIE vol. 5751, 1147 (2005).
  167. K. Motai et al., Proc. SPIE vol. 6517, 65170F (2007).
  168. Y. Nagaoka and J. Miyazaki, Proc. SPIE vol. 9635, 963510 (2015).
  169. S-S. Kim et al., Proc. SPIE 10143, 1014306 (2017).
  170. "5nm Fab Challenges". 2016-01-20. ASML is developing an anamorphic lens for EUV. The two-axis EUV lens would support 8x magnification in the scan mode and 4x in the other direction. It would support 0.5 to 0.6 NAs. … The EUV scanner could take a throughput hit. It would expose the wafer at only half the field size, as opposed to full field sizes with today’s EUV scanners.
  171. Hilbert Hagedoorn. "GeForce GTX 780 review". Guru3D.com.
  172. Intel Xeon E5-2600 v3
  173. J. T. Neumann et al., Proc. SPIE vol. 8522, 852211 (2012).
  174. K. Takehisa, Proc. SPIE vol. 8701, 87010T (2013).
  175. S-M. Kim et al., Proc. SPIE 9422, 94220M (2015).
  176. B. Baylav, "Reduction of Line Edge Roughness (LER) in Interference-Like Large Field Lithography", PhD dissertation, p. 37, 2014.
  177. R. L. Bristol and M. E. Krysak, Proc. SPIE 10143, 101430Z (2017).
  178. 2013 Nissan Chemical Industries, 2013 International Workshop on EUV Lithography
  179. T. G. Oyama et al., Appl. Phys. Exp. 7, 036501 (2014).
  180. T. Kozawa, Jap. J. Appl. Phys. 51, 06FC01 (2012).
  181. Photoresist ABCs
  182. NXT:1980Di
  183. 1 2 EUV Photoresists
  184. 1 2 ASML 2016 EUVL Workshop
  185. New solutions for resist
  186. Metal oxide resist strip
  187. 2016 EUV source workshop, ASML update.
  188. WSJ on ASML
  189. V. M. Blanco Carballo et al., Proc. SPIE 10143, 1014318 (2017).
  190. 1 2
  191. Tiernan Ray. "ASML Gets a Lift From TSM, Though Questions on EUV Remain". Barrons.
  192. 1 2 "ASML 2015 Fourth Quarter and 2015 Annual Results".
  193. 1 2 3 "ASML 2015 Second Quarter Results".
  194. 1 2 3 4 5 Frits van Hout (November 24, 2014). "EUV". ASML. Retrieved December 14, 2016.
  195. 1 2 3 ASML. "ASML reports 2013 results". US Securities and Exchange Commission. Retrieved 2014-07-16. We remain on target to deliver EUV systems with a throughput of 70 wafers per hour in 2014, upgradeable to 125 wafers per hour in 2015.
  196. "ASML 2015 Third Quarter Results".
  197. 1 2 3 4 5 6 7 Hans Meiling (October 31, 2016). "Role of EUV and its Business Opportunity" (PDF). ASML. Retrieved December 15, 2016.
  198. 1 2 "ASML firms up EUV lithography expectations". October 19, 2016.
  199. https://www.sec.gov/Archives/edgar/data/937966/000093796617000003/asml20170118presentation.htm
  200. R. Peeters et al., Proc. SPIE 8679, 86791F (2013).
  201. "Holistic lithography for EUV: NXE:3100 characterization of first printed wafers using an advanced scanner model and scatterometry" (PDF). Archived from the original (PDF) on July 16, 2015.
  202. "ASML delays EUV tool revenue recognition". 2012-04-18.
  203. 1 2 "ASML confident of 2016 EUV goals; Dutch lithography giant expects to ship at least six systems as customers target 2018 production ramp". 2016-01-20.
  204. http://seekingalpha.com/article/4037731-asml-holdings-asml-ceo-peter-wennink-q4-2016-results-earnings-call-transcript
  205. ASML ships first XNE:3400B
  206. EUV Progress, Hurdles Cited
  207. 2018 SPIE update
  208. Q1 2018 ASML Press Release
  209. ASML Q2 2018 update
  210. TSMC OIP Forum
  211. Synopsys/ARM/TSMC DAC 2018 Breakfast (video)
  212. Cadence EDA certification for TSMC 5nm
  213. Synopsys platforms for TSMC 5nm
  214. Samsung/Synopsys DAC 2018 Breakast (video)
  215. 1 2 [H-W Kim et al., Proc. SPIE 7636, 76360Q (2010).
  216. TSMC 5nm in 2019
  217. Intel presentation on Complementary Lithography at 2012 International Workshop on EUV Lithography
  218. S. Hsu et al., Proc. SPIE 4691, 476 (2002).
  219. X. Liu et al., Proc. SPIE 9048, 90480Q (2014).
  220. S-Y. Oh et al., Proc. SPIE 4691, 1537 (2002).
  221. J. T. Neumann et al., Proc. SPIE 8522, 852211 (2012).
  222. Intel’s Xeon E5-2600 V4 Chips Feature An Insane 7.2 Billion Transistors on a 456mm2 Die,
  223. J. van Schoot et al., Proc. SPIE 9422, 94221F (2015).
  224. B. J. Lin, JM3 1, 7–12 (2002).
  225. E. R. Hosler et al., Proc. SPIE vol. 9776, 977616 (2015).
  226. 1 2 B. J. Lin, J. Microlith., Microfab., Microsyst. 1, 7-12 (2002).
  227. B. J. Lin, Microelec. Eng. 143, 91-101 (2015).
  228. EUV Extension
  229. ASML presentation at 2010 International Workshop on Extreme Ultraviolet Sources
  230. Mojarad, Nassir; Gobrecht, Jens; Ekinci, Yasin (18 March 2015). "Beyond EUV lithography: a comparative study of efficient photoresists' performance". Scientific Reports. 5 (1). doi:10.1038/srep09235.

Further reading

  • Banqiu Wu and Ajay Kumar (May 2009). Extreme Ultraviolet Lithography. McGraw-Hill Professional, Inc. ISBN 0-07-154918-8.
  • Banqiu Wu and Ajay Kumar (2009). "Extreme Ultraviolet Lithography: Towards the Next Generation of Integrated Circuits". Optics & Photonics Focus. 7 (4).
This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.