ASM International

ASM International, trading as ASM (Euronext), is a Dutch headquartered multinational corporation that specializes in design, manufacturing, marketing and service of semiconductor equipment for the fabrication of semiconductor devices. Its products are used primarily in front-end wafer processing, among the critical process steps that create the active components within integrated circuits transistors and capacitors. ASM’s technologies include atomic layer deposition, epitaxy, chemical vapor deposition and diffusion.

ASM International N.V.
Naamloze vennootschap
Traded asEuronext: ASM
IndustrySemiconductor industry
FounderArthur del Prado
Headquarters,
Key people
Benjamin Loh (CEO), Jan Lobbezoo (Chairman of the supervisory board)
ProductsEquipment for semiconductor fabrication
Revenue€1.283 billion (2019)[1]
€378.7 million (2019)[1]
€329 million (2019)[1]
Total assets€2.17 billion (end 2019)[1]
Total equity€2.18 billion (end 2019)[1]
Number of employees
2,337 (end 2019)[1]
SubsidiariesASM Pacific Holding B.V. (minority shareholder of ASM Pacific Technology)[1]:14
Websitewww.asm.com

The company was founded by Arthur del Prado (1931-2016) as ‘Advanced Semiconductor Materials’ in 1964.[2] From 2008 until 2020, the son of Arthur del Prado, Chuck del Prado was CEO. [3] ASMi has been the co-founder with Philips Electronics of the company ASML. In 1989, its Hong Kong activities were listed on the Hong Kong Stock Exchange as ASM Pacific Technology.[2] In 1993, ASM divested a division ASM Fico that became part of Besi.[4] Between 2004 and 2012, the company was under siege of several well known hedge funds (Fursa, Hermes, Centaurus).[5][3][6]

ASM pioneered important aspects of many established wafer-processing technologies used in industry, including lithography, deposition, ion implantation and single-wafer epitaxy. In recent years, it brought Atomic Layer Deposition (ALD) and Plasma Enhanced Atomic Layer Deposition (PEALD) from R&D right through to mainstream production at advanced manufacturers sites.[7][8]

ASM is headquartered in Almere, the Netherlands with R&D and manufacturing facilities in South Korea, Singapore, United States, Belgium, Finland, and Japan. The shares of the company are listed on the Euronext Amsterdam. March, 2020 ASM moved to the AEX index.[9] As of 2019, it has 2,337 staff.[1]

History

1960s: In 1964, Arthur del Prado founds ASM as ‘Advanced Semiconductor Materials’ in Bilthoven, the Netherlands.[2] Initially the company operates as a sales agent in semiconductor fabrication technology in Europe.

1970s: ASM starts to design, manufacture and sell chemical vapor deposition equipment.[6] In 1974 it acquires Fico Toolings, a Dutch manufacturer of semiconductor molds. A Hong Kong sales office ASM Asia, now known and traded as ASM Pacific Technology, is established in 1976. ASM America is founded in Phoenix, Arizona, 1975. The sale of ASM’s horizontal plasma-enhanced chemical vapor deposition furnaces drives the company’s growth.

1980s: Following an initial public offering on the Nasdaq in May 1981, the company expands. In 1982 ASM Japan is established.[2] ASM invests in new semiconductor fabrication technologies, like lithography, ion implantation, epitaxy, and wire bonding. In 1988, the company divests ASML Holding N.V., ASM Ion Implant, and it lists its Hong Kong based activities as ASM Pacific Technology on the local stock exchange in 1989.

1990s: The company reorganizes thoroughly between 1991 and 1994.[4] In 1993, ASM Fico sells Berliner Electro Holding, now known as Besi. ASM focusses on vertical low-pressure chemical vapor deposition furnaces by ASM Europe, single wafer plasma-enhanced chemical vapor deposition by ASM Japan and single wafer epitaxy by ASM America. From 1996 onwards, the company is also listed on the Euronext, Amsterdam. ASM retains a majority stake in ASM Pacific Technology.

2000s: ASM expands again with investments in 300-mm wafer technology and atomic layer deposition. In 2007, the company successfully brings atomic layer deposition from R&D to industrial production via the high-k metal gate application.[8] At the same time, hedge funds question the company’s stake in ASM Pacific Technology. In 2008 Arthur del Prado is succeeded as CEO by his son, Chuck del Prado. In 2009 headquarters move from Bilthoven to Almere, the Netherlands.

2010s: The company returns to structural profitability. The application of (plasma enhanced) atomic layer deposition in multiple patterning and high-k metal gate drives ASM’s growth.[7] Other products include diffusion, LPCVD, PECVD, and epitaxy. Its stake in ASM Pacific Technology is reduced to 25%. In 2020, on the Euronext, the company is included on the AEX index. which includes the top-25 of companies listed on the Euronext Amsterdam stock exchange.[9] The same year, Chuck del Prado is succeeded by Benjamin Loh as CEO.

Finances

Revenues

ASM sells its equipment to semiconductor manufacturers worldwide, with the majority of its revenues from Asian customers. In 2019, 1.07 billion euro of the total 1.28 billion euro in revenues was derived from equipment sales, the rest resulted from spares and service.

Year Revenue Profit/loss
2013 €452 million €106 million
2014 €602 million €141 million
2015 €670 million €157 million
2016 €598 million €135 million
2017 €737 million €452 million
2018 €818 million €157 million
2019 €1.28 billion €329 million

Market capitalization

Shares of ASM are traded on the Euronext stock exchange since 1996. Since March 2020, ASM is included on the AEX index.[9] The market capitalization of ASM Pacific Technology is no longer consolidated after ASM’s interest in ASM Pacific Technology decreased to 25 percent in 2013. Between 1981 and 2015 ASM was also listed on the Nasdaq.

In 2018 share price averaged at € 48,62 resulting in an average market capitalization of 2.53 billion euro. In 2019 average closing price was € 68.98, resulting in an average market capitalization of 3.38 billion euro. [1] Over 2020 Q1 the average share price was € 90.65 with an average market capitalization of 4.43 billion euro.

Environmental record

Since 2015 ASM publishes a corporate social responsibility report, which discusses safety, injury rates, landfill diversion rate, water consumption and greenhouse gas emissions, etc.


References

  1. "Annual Report 2019". Netherlands Authority for the Financial Markets. Retrieved 28 May 2020.
  2. "A Tribute to Arthur del Prado - Father of Europe's Equipment industry". vlsiresearch.com. Retrieved 5 June 2020.
  3. "Chuck del Prado: Mission accomplished". bits-chips.nl. Retrieved 5 June 2020.
  4. "Chapter 24 – The only way is up, 1994-1996" (PDF). techwatchbooks.nl. Retrieved 5 June 2020.
  5. Activists Shaking Up Boardrooms Once Again Archived May 22, 2011, at the Wayback Machine, TheStreet.com, April 29, 2008
  6. "Fortunes of High Tech". spiedigitallibrary.org. Retrieved 5 June 2020.
  7. "When persistence pays off: why ASMI is doing so well". bits-chips.nl. Retrieved 5 June 2020.
  8. "How ASMI became the key supplier of semicon ALD equipment". bits-chips.nl. Retrieved 5 June 2020.
  9. "Just Eat Takeaway back in the AEX index". mbs.news. Retrieved 5 June 2020.


This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.