Prison Oval

Prison Oval is a multi-use stadium in Spanish Town, Jamaica, currently used mostly for football matches. It serves as the home ground of Rivoli United FC. The stadium holds 2,000 people.

Prison Oval
Prison Oval
LocationSpanish Town, Jamaica
Coordinates17.992555°N 76.950554°W / 17.992555; -76.950554
Capacity2000
Field sizeApproximately 120 metres (390 ft) x 100 metres (330 ft)[1]

The name 'Prison Oval' is attributed to it being on the same property as the maximum security Saint Catherine Prison. Some prisoners are able to watch matches and other events from their cells.

It is featured in the Barrington Levy song "Prison Oval Rock".[2]

References

  1. Dimensions obtained using the Wikimapia measuring tool.
  2. Listen to Prison Oval Rock, Barrington Levy, Last FM/YouTube, 2008-05-02.
This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.