Memory ordering

Memory ordering describes the order of accesses to computer memory by a CPU. The term can refer either to the memory ordering generated by the compiler during compile time, or to the memory ordering generated by a CPU during runtime.

In modern microprocessors, memory ordering characterizes the CPUs ability to reorder memory operations - it is a type of out-of-order execution. Memory reordering can be used to fully utilize the bus-bandwidth of different types of memory such as caches and memory banks.

On most modern uniprocessors memory operations are not executed in the order specified by the program code. In single threaded programs all operations appear to have been executed in the order specified, with all out-of-order execution hidden to the programmer – however in multi-threaded environments (or when interfacing with other hardware via memory buses) this can lead to problems. To avoid problems memory barriers can be used in these cases.

Compile-time memory ordering

The compiler has some freedom to sort the order of operations during compile time. However this can lead to problems if the order of memory accesses is of importance.

Compile-time memory barrier implementation

These barriers prevent a compiler from reordering instructions during compile time – they do not prevent reordering by CPU during runtime.

  • The GNU inline assembler statement
asm volatile("" ::: "memory");

or even

__asm__ __volatile__ ("" ::: "memory");

forbids GCC compiler to reorder read and write commands around it.[1]

  • The C11/C++11 command
atomic_signal_fence(memory_order_acq_rel);

forbids the compiler to reorder read and write commands around it.[2]

__memory_barrier()

intrinsics.[3][4]

_ReadWriteBarrier()

Runtime memory ordering

In symmetric multiprocessing (SMP) microprocessor systems

There are several memory-consistency models for SMP systems:

  • Sequential consistency (all reads and all writes are in-order)
  • Relaxed consistency (some types of reordering are allowed)
    • Loads can be reordered after loads (for better working of cache coherency, better scaling)
    • Loads can be reordered after stores
    • Stores can be reordered after stores
    • Stores can be reordered after loads
  • Weak consistency (reads and writes are arbitrarily reordered, limited only by explicit memory barriers)

On some CPUs

  • Atomic operations can be reordered with loads and stores.
  • There can be incoherent instruction cache pipeline, which prevents self-modifying code from being executed without special instruction cache flush/reload instructions.
  • Dependent loads can be reordered (this is unique for Alpha). If the processor fetches a pointer to some data after this reordering, it might not fetch the data itself but use stale data which it has already cached and not yet invalidated. Allowing this relaxation makes cache hardware simpler and faster but leads to the requirement of memory barriers for readers and writers.[6]
Memory ordering in some architectures[7][8]
Type AlphaARMv7PA-RISCPOWERSPARC RMOSPARC PSOSPARC TSOx86x86 oostoreAMD64IA-64z/Architecture
Loads reordered after loads YYYYYYY
Loads reordered after stores YYYYYYY
Stores reordered after stores YYYYYYYY
Stores reordered after loads YYYYYYYYYYYY
Atomic reordered with loads YYYYY
Atomic reordered with stores YYYYYY
Dependent loads reordered Y
Incoherent instruction cache pipeline YYYYYYYYY

Some older x86 and AMD systems have weaker memory ordering[9]

SPARC memory ordering modes:

  • SPARC TSO = total store order (default)
  • SPARC RMO = relaxed-memory order (not supported on recent CPUs)
  • SPARC PSO = partial store order (not supported on recent CPUs)

Hardware memory barrier implementation

Many architectures with SMP support have special hardware instruction for flushing reads and writes during runtime.

lfence (asm), void _mm_lfence(void)
sfence (asm), void _mm_sfence(void)[10]
mfence (asm), void _mm_mfence(void)[11]
sync (asm)
sync (asm)
mf (asm)
dcs (asm)
dmb (asm)
dsb (asm)
isb (asm)

Compiler support for hardware memory barriers

Some compilers support builtins that emit hardware memory barrier instructions:

See also

References

  1. GCC compiler-gcc.h Archived 2011-07-24 at the Wayback Machine.
  2. ECC compiler-intel.h Archived 2011-07-24 at the Wayback Machine.
  3. Intel(R) C++ Compiler Intrinsics Reference
    Creates a barrier across which the compiler will not schedule any data access instruction. The compiler may allocate local data in registers across a memory barrier, but not global data.
  4. Visual C++ Language Reference _ReadWriteBarrier
  5. Reordering on an Alpha processor by Kourosh Gharachorloo
  6. Memory Ordering in Modern Microprocessors by Paul McKenney
  7. Memory Barriers: a Hardware View for Software Hackers, Figure 5 on Page 16
  8. Table 1. Summary of Memory Ordering, from "Memory Ordering in Modern Microprocessors, Part I"
  9. SFENCE Store Fence
  10. MFENCE Memory Fence
  11. Data Memory Barrier, Data Synchronization Barrier, and Instruction Synchronization Barrier.
  12. Atomic Builtins
  13. https://gcc.gnu.org/bugzilla/show_bug.cgi?id=36793
  14. MemoryBarrier macro
  15. Handling Memory Ordering in Multithreaded Applications with Oracle Solaris Studio 12 Update 2: Part 2, Memory Barriers and Memory Fence

Further reading

This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.