Moore's law

Moore's law is the observation that the number of transistors in a dense integrated circuit (IC) doubles about every two years. Moore's law is an observation and projection of a historical trend. Rather than a law of physics, it is an empirical relationship linked to gains from experience in production.

A semi-log plot of transistor counts for microprocessors against dates of introduction, nearly doubling every two years.

The observation is named after Gordon Moore, the co-founder of Fairchild Semiconductor and CEO and co-founder of Intel, who in 1965 posited a doubling every year in the number of components per integrated circuit,[lower-alpha 1] and projected this rate of growth would continue for at least another decade. In 1975, looking forward to the next decade, he revised the forecast to doubling every two years, a compound annual growth rate (CAGR) of 40%. While Moore did not use empirical evidence in forecasting that the historical trend would continue, his prediction held since 1975 and has since become known as a "law."

Moore's prediction has been used in the semiconductor industry to guide long-term planning and to set targets for research and development. Advancements in digital electronics, such as the reduction in quality-adjusted microprocessor prices, the increase in memory capacity (RAM and flash), the improvement of sensors, and even the number and size of pixels in digital cameras, are strongly linked to Moore's law. These step changes in digital electronics have been a driving force of technological and social change, productivity, and economic growth.

Industry experts have not reached a consensus on exactly when Moore's law will cease to apply. Microprocessor architects report that semiconductor advancement has slowed industry-wide since around 2010, below the pace predicted by Moore's law. However, as of 2018, leading semiconductor manufacturers have developed IC fabrication processes in mass production which are claimed to keep pace with Moore's law.

History of the concept

Gordon Moore in 2004

In 1959, Douglas Engelbart discussed the projected downscaling of integrated circuit (IC) size in the article "Microelectronics, and the Art of Similitude".[2][3] Engelbart presented his ideas at the 1960 International Solid-State Circuits Conference, where Moore was present in the audience.[4]

That same year, Mohamed Atalla and Dawon Kahng invented the MOSFET (metal–oxide–semiconductor field-effect transistor), also known as the MOS transistor, at Bell Labs.[5] The MOSFET was the first truly compact transistor that could be miniaturized and mass-produced for a wide range of uses,[6] with its high scalability[7] and low power consumption resulting in a higher transistor density[8] and making it possible to build high-density IC chips.[9] In the early 1960s, Gordon E. Moore recognized that the ideal electrical and scaling characteristics of MOSFET devices would lead to rapidly increasing integration levels and unparalleled growth in electronic applications.[10]

In 1965, Gordon Moore, who at the time was working as the director of research and development at Fairchild Semiconductor, was asked to contribute to the thirty-fifth anniversary issue of Electronics magazine with a prediction on the future of the semiconductor components industry over the next ten years. His response was a brief article entitled "Cramming more components onto integrated circuits".[1][11][lower-alpha 2] Within his editorial, he speculated that by 1975 it would be possible to contain as many as 65,000 components on a single quarter-square-inch semiconductor.

The complexity for minimum component costs has increased at a rate of roughly a factor of two per year. Certainly over the short term this rate can be expected to continue, if not to increase. Over the longer term, the rate of increase is a bit more uncertain, although there is no reason to believe it will not remain nearly constant for at least 10 years.[1]

Moore posited a log-linear relationship between device complexity (higher circuit density at reduced cost) and time.[14][15] In a 2015 interview, Moore noted of the 1965 article: "...I just did a wild extrapolation saying it’s going to continue to double every year for the next 10 years."[16]

In 1974, Robert H. Dennard at IBM recognized the rapid MOSFET scaling technology and formulated what became known as Dennard scaling, which describes that as MOS transistors get smaller, their power density stays constant such that the power use remains in proportion with area.[17][18] MOSFET scaling and miniaturization have been the key driving forces behind Moore's law.[19] Evidence from the semiconductor industry shows that this inverse relationship between power density and areal density broke down in the mid-2000s.[20]

At the 1975 IEEE International Electron Devices Meeting, Moore revised his forecast rate,[21][22] predicting semiconductor complexity would continue to double annually until about 1980, after which it would decrease to a rate of doubling approximately every two years.[22][23][24] He outlined several contributing factors for this exponential behavior:[14][15]

  • The advent of metal–oxide–semiconductor (MOS) technology
  • The exponential rate of increase in die sizes, coupled with a decrease in defective densities, with the result that semiconductor manufacturers could work with larger areas without losing reduction yields
  • Finer minimum dimensions
  • What Moore called "circuit and device cleverness"

Shortly after 1975, Caltech professor Carver Mead popularized the term "Moore's law".[25][26] Moore's law eventually came to be widely accepted as a goal for the semiconductor industry, and it was cited by competitive semiconductor manufacturers as they strove to increase processing power. Moore viewed his eponymous law as surprising and optimistic: "Moore's law is a violation of Murphy's law. Everything gets better and better."[27] The observation was even seen as a self-fulfilling prophecy.[28][29]

The doubling period is often misquoted as 18 months because of a prediction by Moore's colleague, Intel executive David House. In 1975, House noted that Moore's revised law of doubling transistor count every 2 years in turn implied that computer chip performance would roughly double every 18 months[30] (with no increase in power consumption).[31] Moore's law is closely related to MOSFET scaling,[19] as the rapid scaling and miniaturization of MOSFETs[7][32] is the key driving force behind Moore's law.[19][8] Mathematically, Moore's Law predicted that transistor count would double every 2 years due to shrinking transistor dimensions and other improvements. As a consequence of shrinking dimensions, Dennard scaling predicted that power consumption per unit area would remain constant. Combining these effects, David House deduced that computer chip performance would roughly double every 18 months. Also due to Dennard scaling, this increased performance would not be accompanied by increased power i.e. the energy-efficiency of silicon-based computer chips roughly doubles every 18 months. Dennard scaling ended in the 2000s.[20] Koomey later showed that a similar rate of efficiency improvement predated silicon chips and Moore's Law, for technologies such as vacuum tubes.

An Osborne Executive portable computer, from 1982, with a Zilog Z80 4 MHz CPU, and a 2007 Apple iPhone with a 412 MHz ARM11 CPU; the Executive weighs 100 times as much, is nearly 500 times the volume, costs approximately 10 times as much (adjusted for inflation), and has 1/103rd the clock frequency of the smartphone.

Microprocessor architects report that since around 2010, semiconductor advancement has slowed industry-wide below the pace predicted by Moore's law.[20] Brian Krzanich, the former CEO of Intel, cited Moore's 1975 revision as a precedent for the current deceleration, which results from technical challenges and is "a natural part of the history of Moore's law".[33][34][35] The rate of improvement in physical dimensions known as Dennard scaling also ended in the mid-2000s. As a result, much of the semiconductor industry has shifted its focus to the needs of major computing applications rather than semiconductor scaling.[28][36][20] Nevertheless, leading semiconductor manufacturers TSMC and Samsung Electronics have claimed to keep pace with Moore's law[37][38][39][40][41][42] with 10 nm and 7 nm nodes in mass production[37][38] and 5 nm nodes in risk production.[43][44]

Moore's second law

As the cost of computer power to the consumer falls, the cost for producers to fulfill Moore's law follows an opposite trend: R&D, manufacturing, and test costs have increased steadily with each new generation of chips. Rising manufacturing costs are an important consideration for the sustaining of Moore's law.[45] This had led to the formulation of Moore's second law, also called Rock's law, which is that the capital cost of a semiconductor fab also increases exponentially over time.[46][47]

Major enabling factors

The trend of MOSFET scaling for NAND flash memory allows the doubling of floating-gate MOSFET components manufactured in the same wafer area in less than 18 months.

Numerous innovations by scientists and engineers have sustained Moore's law since the beginning of the IC era. Some of the key innovations are listed below, as examples of breakthroughs that have advanced integrated circuit and semiconductor device fabrication technology, allowing transistor counts to grow by more than seven orders of magnitude in less than five decades.

  • Integrated circuit The raison d'être for Moore's law. The germanium hybrid IC was invented by Jack Kilby at Texas Instruments in 1958,[48] followed by the invention of the silicon monolithic IC chip by Robert Noyce at Fairchild Semiconductor in 1959.[49]
  • MOSFET Invented at Bell Labs in 1959,[5] it was the first transistor that could be miniaturized and mass produced,[6][50][51] due to its high scalability.[7][9]
  • Chemically-amplified photoresist Invented by Hiroshi Ito, C. Grant Willson and J. M. J. Fréchet at IBM circa 1980,[58][59][60] which was 5-10 times more sensitive to ultraviolet light.[61] IBM introduced chemically amplified photoresist for DRAM production in the mid-1980s.[62][63]
  • Deep UV excimer laser photolithography Invented by Kanti Jain[64] at IBM circa 1980.[65][66][67] Prior to this, excimer lasers had been mainly used as research devices since their development in the 1970s.[68][69] From a broader scientific perspective, the invention of excimer laser lithography has been highlighted as one of the major milestones in the 50-year history of the laser.[70][71]
  • Interconnect innovations Interconnect innovations of the late 1990s, including chemical-mechanical polishing or chemical mechanical planarization (CMP), trench isolation, and copper interconnects—although not directly a factor in creating smaller transistors—have enabled improved wafer yield, additional layers of metal wires, closer spacing of devices, and lower electrical resistance.[72][73][74]

Computer industry technology road maps predicted in 2001 that Moore's law would continue for several generations of semiconductor chips.[75]

A simulation of electron density as gate voltage (Vg) varies in a nanowire MOSFET. The threshold voltage is around 0.45 V. Nanowire MOSFETs lie toward the end of the ITRS road map for scaling devices below 10 nm gate lengths.

One of the key challenges of engineering future nanoscale transistors is the design of gates. As device dimension shrinks, controlling the current flow in the thin channel becomes more difficult. Compared to FinFETs, which have gate dielectric on three sides of the channel, gate-all-around MOSFET (GAAFET) structure has even better gate control.

  • A gate-all-around MOSFET was first demonstrated in 1988, by a Toshiba research team led by Fujio Masuoka, who demonstrated a vertical nanowire GAAFET which he called a "surrounding gate transistor" (SGT).[76][77] Masuoka, best known as the inventor of flash memory, later left Toshiba and founded Unisantis Electronics in 2004 to research surrounding-gate technology along with Tohoku University.[78]
  • In 2006, a team of Korean researchers from the Korea Advanced Institute of Science and Technology (KAIST) and the National Nano Fab Center developed a 3 nm transistor, the world's smallest nanoelectronic device, based on FinFET technology.[79][80]
  • In 2010, researchers at the Tyndall National Institute in Cork, Ireland announced a junctionless transistor. A control gate wrapped around a silicon nanowire can control the passage of electrons without the use of junctions or doping. They claim these may be produced at 10-nanometer scale using existing fabrication techniques.[81]
  • In 2011, researchers at the University of Pittsburgh announced the development of a single-electron transistor, 1.5 nanometers in diameter, made out of oxide based materials. Three "wires" converge on a central "island" that can house one or two electrons. Electrons tunnel from one wire to another through the island. Conditions on the third wire result in distinct conductive properties including the ability of the transistor to act as a solid state memory.[82] Nanowire transistors could spur the creation of microscopic computers.[83][84][85]
  • In 2012, a research team at the University of New South Wales announced the development of the first working transistor consisting of a single atom placed precisely in a silicon crystal (not just picked from a large sample of random transistors).[86] Moore's law predicted this milestone to be reached for ICs in the lab by 2020.
  • In 2015, IBM demonstrated 7 nm node chips with silicon-germanium transistors produced using EUVL. The company believes this transistor density would be four times that of current 14 nm chips.[87]
  • In 2020, Samsung Electronics plans to produce the 5 nm node, using FinFET and EUV technology.[38]
  • Samsung and TSMC plan to manufacture 3 nm GAAFET nodes by 20212022.[88][89] Note that node names, such as 3 nm, have no relation to the physical size of device elements (transistors).
  • A Toshiba research team including T. Imoto, M. Matsui and C. Takubo developed a "System Block Module" wafer bonding process for manufacturing 3D IC packages in 2001.[90][91] In April 2007, Toshiba introduced an eight-layer 3D IC, the 16 GB THGAM embedded NAND flash memory chip which was manufactured with eight stacked 2 GB NAND flash chips.[92] In September 2007, Hynix introduced 24-layer 3D IC, a 16 GB flash memory chip that was manufactured with 24 stacked NAND flash chips using a wafer bonding process.[93]
  • V-NAND, also known as 3D NAND, allows flash memory cells to be stacked vertically using charge trap flash technology, significantly increasing the number of transistors on a flash memory chip. 3D NAND was first announced by Toshiba in 2007.[94] V-NAND was first commercially manufactured by Samsung Electronics in 2013.[95][96][97]
  • In 2008, researchers at HP Labs announced a working memristor, a fourth basic passive circuit element whose existence only had been theorized previously. The memristor's unique properties permit the creation of smaller and better-performing electronic devices.[98]
  • In 2014, bioengineers at Stanford University developed a circuit modeled on the human brain. Sixteen "Neurocore" chips simulate one million neurons and billions of synaptic connections, claimed to be 9,000 times faster as well as more energy efficient than a typical PC.[99]
  • In 2015, Intel and Micron announced 3D XPoint, a non-volatile memory claimed to be significantly faster with similar density compared to NAND. Production scheduled to begin in 2016 was delayed until the second half of 2017.[100][101][102]
  • In 2017, Samsung combined its V-NAND technology with eUFS 3D IC stacking to produce a 512 GB flash memory chip, with eight stacked 64-layer V-NAND dies.[103] In 2019, Samsung produced a 1 TB flash chip with eight stacked 96-layer V-NAND dies, along with quad-level cell (QLC) technology (4-bit per transistor),[104][105] equivalent to 2 trillion transistors, the highest transistor count of any IC chip.

Microprocessor architects report that semiconductor advancement has slowed industry-wide since around 2010, below the pace predicted by Moore's law.[20] Brian Krzanich, the former CEO of Intel, announced, "Our cadence today is closer to two and a half years than two."[106] Intel stated in 2015 that improvements in MOSFET devices have slowed, starting at the 22 nm feature width around 2012, and continuing at 14 nm.[107]

The physical limits to transistor scaling have been reached due to source-to-drain leakage, limited gate metals and limited options for channel material. Other approaches are being investigated, which do not rely on physical scaling. These include the spin state of electron spintronics, tunnel junctions, and advanced confinement of channel materials via nano-wire geometry.[108] Spin-based logic and memory options are being developed actively in labs.[109][110]

Alternative materials research

The vast majority of current transistors on ICs are composed principally of doped silicon and its alloys. As silicon is fabricated into single nanometer transistors, short-channel effects adversely change desired material properties of silicon as a functional transistor. Below are several non-silicon substitutes in the fabrication of small nanometer transistors.

One proposed material is indium gallium arsenide, or InGaAs. Compared to their silicon and germanium counterparts, InGaAs transistors are more promising for future high-speed, low-power logic applications. Because of intrinsic characteristics of III-V compound semiconductors, quantum well and tunnel effect transistors based on InGaAs have been proposed as alternatives to more traditional MOSFET designs.

  • In the early 2000s, the atomic layer deposition high-k film and pitch double-patterning processes were invented by Gurtej Singh Sandhu at Micron Technology, extending Moore's law for planar CMOS technology to 30 nm class and smaller.[111]
  • In 2009, Intel announced the development of 80-nanometer InGaAs quantum well transistors. Quantum well devices contain a material sandwiched between two layers of material with a wider band gap. Despite being double the size of leading pure silicon transistors at the time, the company reported that they performed equally as well while consuming less power.[112]
  • In 2011, researchers at Intel demonstrated 3-D tri-gate InGaAs transistors with improved leakage characteristics compared to traditional planar designs. The company claims that their design achieved the best electrostatics of any III-V compound semiconductor transistor.[113] At the 2015 International Solid-State Circuits Conference, Intel mentioned the use of III-V compounds based on such an architecture for their 7 nanometer node.[114][115]
  • In 2011, researchers at the University of Texas at Austin developed an InGaAs tunneling field-effect transistors capable of higher operating currents than previous designs. The first III-V TFET designs were demonstrated in 2009 by a joint team from Cornell University and Pennsylvania State University.[116][117]
  • In 2012, a team in MIT's Microsystems Technology Laboratories developed a 22 nm transistor based on InGaAs which, at the time, was the smallest non-silicon transistor ever built. The team used techniques currently used in silicon device fabrication and aims for better electrical performance and a reduction to 10-nanometer scale.[118]

Biological computing research shows that biological material has superior information density and energy efficiency compared to silicon-based computing.[119]

Scanning probe microscopy image of graphene in its hexagonal lattice structure

Various forms of graphene are being studied for graphene electronics, e.g. Graphene nanoribbon transistors have shown great promise since its appearance in publications in 2008. (Bulk graphene has a band gap of zero and thus cannot be used in transistors because of its constant conductivity, an inability to turn off. The zigzag edges of the nanoribbons introduce localized energy states in the conduction and valence bands and thus a bandgap that enables switching when fabricated as a transistor. As an example, a typical GNR of width of 10 nm has a desirable bandgap energy of 0.4eV.[120][121]) More research will need to be performed, however, on sub 50 nm graphene layers, as its resistivity value increases and thus electron mobility decreases.[120]

Forecasts and roadmaps

In April 2005, Gordon Moore stated in an interview that the projection cannot be sustained indefinitely: "It can't continue forever. The nature of exponentials is that you push them out and eventually disaster happens." He also noted that transistors eventually would reach the limits of miniaturization at atomic levels:

In terms of size [of transistors] you can see that we're approaching the size of atoms which is a fundamental barrier, but it'll be two or three generations before we get that far—but that's as far out as we've ever been able to see. We have another 10 to 20 years before we reach a fundamental limit. By then they'll be able to make bigger chips and have transistor budgets in the billions.[122]

In 2016 the International Technology Roadmap for Semiconductors, after using Moore's Law to drive the industry since 1998, produced its final roadmap. It no longer centered its research and development plan on Moore's law. Instead, it outlined what might be called the More than Moore strategy in which the needs of applications drive chip development, rather than a focus on semiconductor scaling. Application drivers range from smartphones to AI to data centers.[123]

IEEE began a road-mapping initiative in 2016, Rebooting Computing, named the International Roadmap for Devices and Systems (IRDS).[124]

Most forecasters, including Gordon Moore,[125] expect Moore's law will end by around 2025.[126][123][127]

Consequences

Digital electronics have contributed to world economic growth in the late twentieth and early twenty-first centuries.[128] The primary driving force of economic growth is the growth of productivity,[129] and Moore's law factors into productivity. Moore (1995) expected that "the rate of technological progress is going to be controlled from financial realities".[130] The reverse could and did occur around the late-1990s, however, with economists reporting that "Productivity growth is the key economic indicator of innovation."[131] Moore's law describes a driving force of technological and social change, productivity, and economic growth.[132][133][129]

An acceleration in the rate of semiconductor progress contributed to a surge in U.S. productivity growth,[134][135][136] which reached 3.4% per year in 1997–2004, outpacing the 1.6% per year during both 1972–1996 and 2005–2013.[137] As economist Richard G. Anderson notes, "Numerous studies have traced the cause of the productivity acceleration to technological innovations in the production of semiconductors that sharply reduced the prices of such components and of the products that contain them (as well as expanding the capabilities of such products)."[138]

Intel transistor gate length trend – transistor scaling has slowed down significantly at advanced (smaller) nodes

An alternative source of improved performance is in microarchitecture techniques exploiting the growth of available transistor count. Out-of-order execution and on-chip caching and prefetching reduce the memory latency bottleneck at the expense of using more transistors and increasing the processor complexity. These increases are described empirically by Pollack's Rule, which states that performance increases due to microarchitecture techniques approximate the square root of the complexity (number of transistors or the area) of a processor.[139]

For years, processor makers delivered increases in clock rates and instruction-level parallelism, so that single-threaded code executed faster on newer processors with no modification.[140] Now, to manage CPU power dissipation, processor makers favor multi-core chip designs, and software has to be written in a multi-threaded manner to take full advantage of the hardware. Many multi-threaded development paradigms introduce overhead, and will not see a linear increase in speed vs number of processors. This is particularly true while accessing shared or dependent resources, due to lock contention. This effect becomes more noticeable as the number of processors increases. There are cases where a roughly 45% increase in processor transistors has translated to roughly 10–20% increase in processing power.[141]

On the other hand, manufacturers are adding specialized processing units to deal with features such as graphics, video, and cryptography. For one example, Intel's Parallel JavaScript extension not only adds support for multiple cores, but also for the other non-general processing features of their chips, as part of the migration in client side scripting toward HTML5.[142]

A negative implication of Moore's law is obsolescence, that is, as technologies continue to rapidly "improve", these improvements may be significant enough to render predecessor technologies obsolete rapidly. In situations in which security and survivability of hardware or data are paramount, or in which resources are limited, rapid obsolescence may pose obstacles to smooth or continued operations.[143]

Because of the toxic materials used in the production of modern computers, obsolescence, if not properly managed, may lead to harmful environmental impacts. On the other hand, obsolescence may sometimes be desirable to a company which can profit immensely from the regular purchase of what is often expensive new equipment instead of retaining one device for a longer period of time. Those in the industry are well aware of this, and may utilize planned obsolescence as a method of increasing profits.[144]

Moore's law has affected the performance of other technologies significantly: Michael S. Malone wrote of a Moore's War following the apparent success of shock and awe in the early days of the Iraq War. Progress in the development of guided weapons depends on electronic technology.[145] Improvements in circuit density and low-power operation associated with Moore's law also have contributed to the development of technologies including mobile telephones[146] and 3-D printing.[147]

Other formulations and similar observations

Several measures of digital technology are improving at exponential rates related to Moore's law, including the size, cost, density, and speed of components. Moore wrote only about the density of components, "a component being a transistor, resistor, diode or capacitor",[130] at minimum cost.

Transistors per integrated circuit – The most popular formulation is of the doubling of the number of transistors on ICs every two years. At the end of the 1970s, Moore's law became known as the limit for the number of transistors on the most complex chips. The graph at the top shows this trend holds true today. As of 2017, the commercially available processor possessing the highest number of transistors is the 48 core Centriq with over 18 billion transistors.[148]

Density at minimum cost per transistor – This is the formulation given in Moore's 1965 paper.[1] It is not just about the density of transistors that can be achieved, but about the density of transistors at which the cost per transistor is the lowest.[149] As more transistors are put on a chip, the cost to make each transistor decreases, but the chance that the chip will not work due to a defect increases. In 1965, Moore examined the density of transistors at which cost is minimized, and observed that, as transistors were made smaller through advances in photolithography, this number would increase at "a rate of roughly a factor of two per year".[1]

Dennard scaling – This posits that power usage would decrease in proportion to area (both voltage and current being proportional to length) of transistors. Combined with Moore's law, performance per watt would grow at roughly the same rate as transistor density, doubling every 1–2 years. According to Dennard scaling transistor dimensions would be scaled by 30% (0.7x) every technology generation, thus reducing their area by 50%. This would reduce the delay by 30% (0.7x) and therefore increase operating frequency by about 40% (1.4x). Finally, to keep electric field constant, voltage would be reduced by 30%, reducing energy by 65% and power (at 1.4x frequency) by 50%.[lower-alpha 3] Therefore, in every technology generation transistor density would double, circuit becomes 40% faster, while power consumption (with twice the number of transistors) stays the same.[150] Dennnard scaling came to end in 2005–2010, due to leakage currents.[20]

The exponential processor transistor growth predicted by Moore does not always translate into exponentially greater practical CPU performance. Since around 2005–2007, Dennard scaling has ended, so even though Moore's law continued for several years after that, it has not yielded dividends in improved performance.[17][151] The primary reason cited for the breakdown is that at small sizes, current leakage poses greater challenges, and also causes the chip to heat up, which creates a threat of thermal runaway and therefore, further increases energy costs.[17][151][20]

The breakdown of Dennard scaling prompted a greater focus on multicore processors, but the gains offered by switching to more cores are lower than the gains that would be achieved had Dennard scaling continued.[152][153] In another departure from Dennard scaling, Intel microprocessors adopted a non-planar tri-gate FinFET at 22 nm in 2012 that is faster and consumes less power than a conventional planar transistor.[154] The rate of performance improvement for single-core microprocessors has slowed significantly.[155] Single-core performance was improving by 52% per year in 1986–2003 and 23% per year in 2003–2011, but slowed to just seven percent per year in 2011–2018."[155]

Quality adjusted price of IT equipment – The price of information technology (IT), computers and peripheral equipment, adjusted for quality and inflation, declined 16% per year on average over the five decades from 1959 to 2009. [156][157] The pace accelerated, however, to 23% per year in 1995–1999 triggered by faster IT innovation,[131] and later, slowed to 2% per year in 2010–2013.[156][158]

While quality-adjusted microprocessor price improvement continues,[159] the rate of improvement likewise varies, and is not linear on a log scale. Microprocessor price improvement accelerated during the late 1990s, reaching 60% per year (halving every nine months) versus the typical 30% improvement rate (halving every two years) during the years earlier and later.[160][161] Laptop microprocessors in particular improved 25–35% per year in 2004–2010, and slowed to 15–25% per year in 2010–2013.[162]

The number of transistors per chip cannot explain quality-adjusted microprocessor prices fully.[160][163][164] Moore's 1995 paper does not limit Moore's law to strict linearity or to transistor count, "The definition of 'Moore's Law' has come to refer to almost anything related to the semiconductor industry that on a semi-log plot approximates a straight line. I hesitate to review its origins and by doing so restrict its definition."[130]

Hard disk drive areal density – A similar prediction (sometimes called Kryder's law) was made in 2005 for hard disk drive areal density.[165] The prediction was later viewed as over-optimistic. Several decades of rapid progress in areal density slowed around 2010, from 30–100% per year to 10–15% per year, because of noise related to smaller grain size of the disk media, thermal stability, and writability using available magnetic fields.[166][167]

Fiber-optic capacity – The number of bits per second that can be sent down an optical fiber increases exponentially, faster than Moore's law. Keck's law, in honor of Donald Keck.[168]

Network capacity – According to Gerry/Gerald Butters,[169][170] the former head of Lucent's Optical Networking Group at Bell Labs, there is another version, called Butters' Law of Photonics,[171] a formulation that deliberately parallels Moore's law. Butters' law says that the amount of data coming out of an optical fiber is doubling every nine months.[172] Thus, the cost of transmitting a bit over an optical network decreases by half every nine months. The availability of wavelength-division multiplexing (sometimes called WDM) increased the capacity that could be placed on a single fiber by as much as a factor of 100. Optical networking and dense wavelength-division multiplexing (DWDM) is rapidly bringing down the cost of networking, and further progress seems assured. As a result, the wholesale price of data traffic collapsed in the dot-com bubble. Nielsen's Law says that the bandwidth available to users increases by 50% annually.[173]

Pixels per dollar – Similarly, Barry Hendy of Kodak Australia has plotted pixels per dollar as a basic measure of value for a digital camera, demonstrating the historical linearity (on a log scale) of this market and the opportunity to predict the future trend of digital camera price, LCD and LED screens, and resolution.[174][175][176][177]

The great Moore's law compensator (TGMLC), also known as Wirth's law – generally is referred to as software bloat and is the principle that successive generations of computer software increase in size and complexity, thereby offsetting the performance gains predicted by Moore's law. In a 2008 article in InfoWorld, Randall C. Kennedy,[178] formerly of Intel, introduces this term using successive versions of Microsoft Office between the year 2000 and 2007 as his premise. Despite the gains in computational performance during this time period according to Moore's law, Office 2007 performed the same task at half the speed on a prototypical year 2007 computer as compared to Office 2000 on a year 2000 computer.

Library expansion – was calculated in 1945 by Fremont Rider to double in capacity every 16 years, if sufficient space were made available.[179] He advocated replacing bulky, decaying printed works with miniaturized microform analog photographs, which could be duplicated on-demand for library patrons or other institutions. He did not foresee the digital technology that would follow decades later to replace analog microform with digital imaging, storage, and transmission media. Automated, potentially lossless digital technologies allowed vast increases in the rapidity of information growth in an era that now sometimes is called the Information Age.

Carlson curve – is a term coined by The Economist[180] to describe the biotechnological equivalent of Moore's law, and is named after author Rob Carlson.[181] Carlson accurately predicted that the doubling time of DNA sequencing technologies (measured by cost and performance) would be at least as fast as Moore's law.[182] Carlson Curves illustrate the rapid (in some cases hyperexponential) decreases in cost, and increases in performance, of a variety of technologies, including DNA sequencing, DNA synthesis, and a range of physical and computational tools used in protein expression and in determining protein structures.

Eroom's law – is a pharmaceutical drug development observation which was deliberately written as Moore's Law spelled backwards in order to contrast it with the exponential advancements of other forms of technology (such as transistors) over time. It states that the cost of developing a new drug roughly doubles every nine years.

Experience curve effects says that each doubling of the cumulative production of virtually any product or service is accompanied by an approximate constant percentage reduction in the unit cost. The acknowledged first documented qualitative description of this dates from 1885.[183][184] A power curve was used to describe this phenomenon in a 1936 discussion of the cost of airplanes.[185]

Edholm's law – Phil Edholm observed that the bandwidth of telecommunication networks (including the Internet) is doubling every 18 months.[186] The bandwidths of online communication networks has risen from bits per second to terabits per second. The rapid rise in online bandwidth is largely due to the same MOSFET scaling that enables Moore's law, as telecommunications networks are built from MOSFETs.[187]

Second half of the chessboard — coined by Ray Kurzweil[188], it refers to the point where technology advances exponentially enough that it has disruptive effects on business strategy and labor markets.[189][190]

See also

Notes

  1. The trend begins with the invention of the integrated circuit in 1958. See the graph on the bottom of page 3 of Moore's original presentation of the idea.[1]
  2. In April 2005, Intel offered US$10,000 to purchase a copy of the original Electronics issue in which Moore's article appeared.[12] An engineer living in the United Kingdom was the first to find a copy and offer it to Intel.[13]
  3. Active power = CV2f

References

  1. Moore, Gordon E. (1965-04-19). "Cramming more components onto integrated circuits" (PDF). intel.com. Electronics Magazine. Retrieved April 1, 2020.
  2. Markoff, John (April 18, 2005). "It's Moore's Law But Another Had The Idea First". The New York Times. Archived from the original on March 4, 2012. Retrieved October 4, 2011.
  3. Markoff, John (August 31, 2009). "After the Transistor, a Leap Into the Microcosm". The New York Times. Retrieved 2009-08-31.
  4. Markoff, John (September 27, 2015). "Smaller, Faster, Cheaper, Over: The Future of Computer Chips". The New York Times. Retrieved September 28, 2015.
  5. "1960 – Metal Oxide Semiconductor (MOS) Transistor Demonstrated: John Atalla and Dawon Kahng fabricate working transistors and demonstrate the first successful MOS field-effect amplifier". Computer History Museum.
  6. Moskowitz, Sanford L. (2016). Advanced Materials Innovation: Managing Global Technology in the 21st century. John Wiley & Sons. pp. 165–167. ISBN 9780470508923.
  7. Motoyoshi, M. (2009). "Through-Silicon Via (TSV)" (PDF). Proceedings of the IEEE. 97 (1): 43–48. doi:10.1109/JPROC.2008.2007462. ISSN 0018-9219.
  8. "Transistors Keep Moore's Law Alive". EETimes. 12 December 2018. Retrieved 18 July 2019.
  9. "Who Invented the Transistor?". Computer History Museum. 4 December 2013. Retrieved 20 July 2019.
  10. Golio, Mike; Golio, Janet (2018). RF and Microwave Passive and Active Technologies. CRC Press. pp. 18–5. ISBN 9781420006728.
  11. "Excerpts from a conversation with Gordon Moore: Moore's Law" (PDF). Intel Corporation. 2005. p. 1. Archived from the original (PDF) on 2012-10-29. Retrieved 2020-04-01.
  12. Kanellos, Michael (2005-04-11). "Intel offers $10,000 for Moore's Law magazine". ZDNET News.com. Retrieved 2013-06-21.
  13. "Moore's Law original issue found". BBC News Online. 2005-04-22. Retrieved 2012-08-26.
  14. Schaller, Bob (September 26, 1996). "The Origin, Nature, and Implications of "MOORE'S LAW"". Microsoft. Retrieved September 10, 2014. Cite journal requires |journal= (help)
  15. Tuomi, I. (2002). "The Lives and Death of Moore's Law". First Monday. 7 (11). doi:10.5210/fm.v7i11.1000.
  16. Moore, Gordon (March 30, 2015). "Gordon Moore: The Man Whose Name Means Progress, The visionary engineer reflects on 50 years of Moore's Law". IEEE Spectrum: Special Report: 50 Years of Moore's Law (Interview). Interviewed by Rachel Courtland. We won't have the rate of progress that we've had over the last few decades. I think that's inevitable with any technology; it eventually saturates out. I guess I see Moore's law dying here in the next decade or so, but that's not surprising.
  17. McMenamin, Adrian (April 15, 2013). "The end of Dennard scaling". Retrieved January 23, 2014.
  18. Streetman, Ben G.; Banerjee, Sanjay Kumar (2016). Solid state electronic devices. Boston: Pearson. p. 341. ISBN 978-1-292-06055-2. OCLC 908999844.
  19. Siozios, Kostas; Anagnostos, Dimitrios; Soudris, Dimitrios; Kosmatopoulos, Elias (2018). IoT for Smart Grids: Design Challenges and Paradigms. Springer. p. 167. ISBN 9783030036409.
  20. John L. Hennessy; David A. Patterson (June 4, 2018). "A New Golden Age for Computer Architecture: Domain-Specific Hardware/Software Co-Design, Enhanced Security, Open Instruction Sets, and Agile Chip Development". International Symposium on Computer Architecture - ISCA 2018. In the later 1990s and 2000s, architectural innovation decreased, so performance came primarily from higher clock rates and larger caches. The ending of Dennard Scaling and Moore’s Law also slowed this path; single core performance improved only 3% last year!
  21. Takahashi, Dean (April 18, 2005). "Forty years of Moore's law". Seattle Times. San Jose, CA. Retrieved April 7, 2015. A decade later, he revised what had become known as Moore's Law: The number of transistors on a chip would double every two years.
  22. Moore, Gordon (1975). "IEEE Technical Digest 1975" (PDF). Intel Corp. Retrieved April 7, 2015. ... the rate of increase of complexity can be expected to change slope in the next few years as shown in Figure 5. The new slope might approximate a doubling every two years, rather than every year, by the end of the decade. Cite journal requires |journal= (help)
  23. Moore, Gordon (2006). "Chapter 7: Moore's law at 40" (PDF). In Brock, David (ed.). Understanding Moore's Law: Four Decades of Innovation. Chemical Heritage Foundation. pp. 67–84. ISBN 978-0-941901-41-3. Archived from the original (PDF) on 2016-03-04. Retrieved March 22, 2018.
  24. "Over 6 Decades of Continued Transistor Shrinkage, Innovation" (Press release). Santa Clara, California: Intel Corporation. Intel Corporation. 2011-05-01. Retrieved 2015-03-15. 1965: Moore's Law is born when Gordon Moore predicts that the number of transistors on a chip will double roughly every year (a decade later, in 1975, Moore published an update, revising the doubling period to every 2 years)
  25. Brock, David C., ed. (2006). Understanding Moore's law: four decades of innovation. Philadelphia, Pa: Chemical Heritage Foundation. ISBN 978-0941901413.
  26. in reference to Gordon E. Moore's statements at the IEEE. "Moore's Law – The Genius Lives On". IEEE solid-state circuits society newsletter. September 2006. Archived from the original on 2007-07-13.
  27. "Moore's Law at 40 – Happy birthday". The Economist. 2005-03-23. Retrieved 2006-06-24.
  28. Disco, Cornelius; van der Meulen, Barend (1998). Getting new technologies together. New York: Walter de Gruyter. pp. 206–207. ISBN 978-3-11-015630-0. OCLC 39391108. Retrieved August 23, 2008.
  29. "Gordon Moore Says Aloha to Moore's Law". the Inquirer. April 13, 2005. Retrieved September 2, 2009.
  30. "PressReader.com - Connecting People Through News". www.pressreader.com. Retrieved 2018-08-24.
  31. "Moore's Law to roll on for another decade". Retrieved 2011-11-27. Moore also affirmed he never said transistor count would double every 18 months, as is commonly said. Initially, he said transistors on a chip would double every year. He then recalibrated it to every two years in 1975. David House, an Intel executive at the time, noted that the changes would cause computer performance to double every 18 months.
  32. "Tortoise of Transistors Wins the Race - CHM Revolution". Computer History Museum. Retrieved 22 July 2019.
  33. Bradshaw, Tim (July 16, 2015). "Intel chief raises doubts over Moore's law". Financial Times. Retrieved 2015-07-16.
  34. Waters, Richard (July 16, 2015). "As Intel co-founder's law slows, a rethinking of the chip is needed". Financial Times.
  35. Niccolai, James (July 15, 2015). "Intel pushes 10nm chip-making process to 2017, slowing Moore's Law". Infoworld. Retrieved 2015-07-16. It's official: Moore's Law is slowing down. ... "These transitions are a natural part of the history of Moore's Law and are a by-product of the technical challenges of shrinking transistors while ensuring they can be manufactured in high volume", Krzanich said.
  36. Thomas M. Conte; Elie Track; Erik DeBenedictis (December 2015). "Rebooting Computing: New Strategies for Technology Scaling". Computer. 48 (12): 10–13. doi:10.1109/MC.2015.363. Year-over-year exponential computer performance scaling has ended. Complicating this is the coming disruption of the "technology escalator" underlying the industry: Moore's law.
  37. Shilov, Anton (October 23, 2019). "TSMC: 5nm on Track for Q2 2020 HVM, Will Ramp Faster Than 7nm". www.anandtech.com. Retrieved December 1, 2019.
  38. Shilov, Anton (July 31, 2019). "Home>Semiconductors Samsung's Aggressive EUV Plans: 6nm Production in H2, 5nm & 4nm On Track". www.anandtech.com. Retrieved December 1, 2019.
  39. Cheng, Godfrey (14 August 2019). "Moore's Law is not Dead". TSMC Blog. TSMC. Retrieved 18 August 2019.
  40. Martin, Eric (4 June 2019). "Moore's Law is Alive and Well - Charts show it may be dying at Intel, but others are picking up the slack". Medium.
  41. "5nm Vs. 3nm". Semiconductor Engineering. 24 June 2019. Retrieved 19 July 2019.
  42. Lilly, Paul (17 July 2019). "Intel says it was too aggressive pursuing 10nm, will have 7nm chips in 2021". PC Gamer.
  43. Shilov, Anton. "Samsung Completes Development of 5nm EUV Process Technology". anandtech.com. Retrieved 2019-05-31.
  44. TSMC and OIP Ecosystem Partners Deliver Industry's First Complete Design Infrastructure for 5nm Process Technology (press release), TSMC, 3 April 2019
  45. Lemon, Sumner; Krazit, Tom (2005-04-19). "With chips, Moore's Law is not the problem". Infoworld. Retrieved 2011-08-22.
  46. Dorsch, Jeff. "Does Moore's Law Still Hold Up?" (PDF). EDA Vision. Retrieved 2011-08-22.
  47. Schaller, Bob (1996-09-26). "The Origin, Nature, and Implications of "Moore's Law"". Research.microsoft.com. Retrieved 2011-08-22.
  48. Kilby, J., "Miniaturized electronic circuits", US 3138743, issued June 23, 1964 (filed February 6, 1959).
  49. Noyce, R., "Semiconductor device-and-lead structure", US 2981877, issued April 25, 1961 (filed July 30, 1959)
  50. "13 Sextillion & Counting: The Long & Winding Road to the Most Frequently Manufactured Human Artifact in History". Computer History Museum. April 2, 2018. Retrieved 28 July 2019.
  51. Baker, R. Jacob (2011). CMOS: Circuit Design, Layout, and Simulation. John Wiley & Sons. p. 7. ISBN 978-1118038239.
  52. "1963: Complementary MOS Circuit Configuration is Invented". Computer History Museum. Retrieved 6 July 2019.
  53. Sah, Chih-Tang; Wanlass, Frank (1963). "Nanowatt logic using field-effect metal-oxide semiconductor triodes". 1963 IEEE International Solid-State Circuits Conference. Digest of Technical Papers. VI: 32–33. doi:10.1109/ISSCC.1963.1157450.
  54. Wanlass, F., "Low stand-by power complementary field effect circuitry", US 3356858, issued December 5, 1967 (filed June 18, 1963).
  55. "Spec Sheet for Toshiba "TOSCAL" BC-1411". Old Calculator Web Museum. Archived from the original on 3 July 2017. Retrieved 8 May 2018.
  56. Toshiba "Toscal" BC-1411 Desktop Calculator Archived 2007-05-20 at the Wayback Machine
  57. Dennard, R., "Field-effect transistor memory", US 3387286, issued June 4, 1968 (filed July 14, 1967)
  58. U.S. Patent 4,491,628 "Positive and Negative Working Resist Compositions with Acid-Generating Photoinitiator and Polymer with Acid-Labile Groups Pendant From Polymer Backbone" J. M. J. Fréchet, H. Ito and C. G. Willson 1985.
  59. Ito, H.; Willson, C. G. (1983). "Chemical amplification in the design of dry developing resist material". Polymer Engineering & Science. 23 (18): 204. doi:10.1002/pen.760231807.
  60. Ito, Hiroshi; Willson, C. Grant; Frechet, Jean H. J. (1982). "New UV resists with negative or positive tone". VLSI Technology, 1982. Digest of Technical Papers. Symposium on.
  61. Brock, David C. (2007-10-01). "Patterning the World: The Rise of Chemically Amplified Photoresists". Chemical Heritage Magazine. Chemical Heritage Foundation. Retrieved 27 March 2018.
  62. Lamola, Angelo A., et al. "Chemically amplified resists". Solid State Technology, Aug. 1991, p. 53+."Chemically amplified resists". August 1991. Retrieved 2017-11-01.
  63. Ito, Hiroshi (2000). "Chemical amplification resists: History and development within IBM" (PDF). IBM Journal of Research and Development. Retrieved 2014-05-20.
  64. 4458994 A US patent US 4458994 A, Kantilal Jain, Carlton G. Willson, "High resolution optical lithography method and apparatus having excimer laser light source and stimulated Raman shifting", issued 1984-07-10
  65. Jain, K. et al, "Ultrafast deep-UV lithography with excimer lasers", IEEE Electron Device Lett., Vol. EDL-3, 53 (1982); http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=1482581
  66. Jain, K. "Excimer Laser Lithography", SPIE Press, Bellingham, WA, 1990.
  67. La Fontaine, B., "Lasers and Moore's Law", SPIE Professional, Oct. 2010, p. 20; http://spie.org/x42152.xml
  68. Basov, N. G. et al., Zh. Eksp. Fiz. i Tekh. Pis'ma. Red. 12, 473(1970).
  69. Burnham, R.; Djeu, N. (1976). "Ultraviolet‐preionized discharge‐pumped lasers in XeF, KrF, and ArF". Appl. Phys. Lett. 29 (11): 707. Bibcode:1976ApPhL..29..707B. doi:10.1063/1.88934.
  70. Lasers in Our Lives / 50 Years of Impact (PDF), U.K. Engineering and Physical Sciences Research Council, archived from the original (PDF) on 2011-09-13, retrieved 2011-08-22
  71. "50 Years Advancing the Laser" (PDF). SPIE. Retrieved 2011-08-22.
  72. Moore, Gordon E. (2003-02-10). "transcription of Gordon Moore's Plenary Address at ISSCC 50th Anniversary" (PDF). transcription "Moore on Moore: no Exponential is forever". 2003 IEEE International Solid-State Circuits Conference. San Francisco, California: ISSCC. Archived from the original (PDF) on 2010-03-31.
  73. Steigerwald, J. M. (2008). "Chemical mechanical polish: The enabling technology". 2008 IEEE International Electron Devices Meeting. pp. 1–4. doi:10.1109/IEDM.2008.4796607. ISBN 978-1-4244-2377-4. "Table1: 1990 enabling multilevel metallization; 1995 enabling STI compact isolation, polysilicon patterning and yield / defect reduction"
  74. "IBM100 – Copper Interconnects: The Evolution of Microprocessors". 2012-03-07. Retrieved October 17, 2012.
  75. "International Technology Roadmap for Semiconductors". Archived from the original on 2011-08-25. Retrieved 2011-08-22.
  76. Masuoka, Fujio; Takato, H.; Sunouchi, K.; Okabe, N.; Nitayama, A.; Hieda, K.; Horiguchi, F. (December 1988). "High performance CMOS surrounding-gate transistor (SGT) for ultra high density LSIs". Technical Digest., International Electron Devices Meeting: 222–225. doi:10.1109/IEDM.1988.32796.
  77. Brozek, Tomasz (2017). Micro- and Nanoelectronics: Emerging Device Challenges and Solutions. CRC Press. p. 117. ISBN 9781351831345.
  78. "Company Profile". Unisantis Electronics. Archived from the original on 22 February 2007. Retrieved 17 July 2019.
  79. "Still Room at the Bottom.(nanometer transistor developed by Yang-kyu Choi from the Korea Advanced Institute of Science and Technology )", Nanoparticle News, 1 April 2006, archived from the original on 6 November 2012
  80. Lee, Hyunjin; et al. (2006), "Sub-5nm All-Around Gate FinFET for Ultimate Scaling", Symposium on VLSI Technology, 2006: 58–59, doi:10.1109/VLSIT.2006.1705215, hdl:10203/698, ISBN 978-1-4244-0005-8
  81. Johnson, Dexter (2010-02-22). "Junctionless Transistor Fabricated from Nanowires". IEEE Spectrum. Retrieved 2010-04-20.
  82. Cheng, Guanglei; Siles, Pablo F.; Bi, Feng; Cen, Cheng; Bogorin, Daniela F.; Bark, Chung Wung; Folkman, Chad M.; Park, Jae-Wan; Eom, Chang-Beom; Medeiros-Ribeiro, Gilberto; Levy, Jeremy (2011-04-19). "Super-small transistor created: Artificial atom powered by single electron". Nature Nanotechnology. 6 (6): 343–347. Bibcode:2011NatNa...6..343C. doi:10.1038/nnano.2011.56. PMID 21499252. Retrieved 2011-08-22.
  83. Kaku, Michio (2010). Physics of the Future. Doubleday. p. 173. ISBN 978-0-385-53080-4.
  84. Yirka, Bob (2013-05-02). "New nanowire transistors may help keep Moore's Law alive". Nanoscale. 5 (6): 2437–41. Bibcode:2013Nanos...5.2437L. doi:10.1039/C3NR33738C. PMID 23403487. Retrieved 2013-08-08.
  85. "Rejuvenating Moore's Law With Nanotechnology". Forbes. 2007-06-05. Retrieved 2013-08-08.
  86. Fuechsle, M; Miwa, JA; Mahapatra, S; Ryu, H; Lee, S; Warschkow, O; Hollenberg, LC; Klimeck, G; Simmons, MY (2011-12-16). "A single-atom transistor". Nat Nanotechnol. 7 (4): 242–6. Bibcode:2012NatNa...7..242F. doi:10.1038/nnano.2012.21. PMID 22343383.
  87. "IBM Reports Advance in Shrinking Chip Circuitry". The Wall Street Journal. July 9, 2015. Retrieved July 9, 2015.
  88. Armasu, Lucian (11 January 2019), "Samsung Plans Mass Production of 3nm GAAFET Chips in 2021", www.tomshardware.com
  89. Patterson, Alan (October 2, 2017), "TSMC Aims to Build World's First 3-nm Fab", www.eetimes.com
  90. Garrou, Philip (6 August 2008). "Introduction to 3D Integration" (PDF). Handbook of 3D Integration: Technology and Applications of 3D Integrated Circuits. Wiley-VCH. p. 4. doi:10.1002/9783527623051.ch1. ISBN 9783527623051.
  91. Imoto, T.; Matsui, M.; Takubo, C.; Akejima, S.; Kariya, T.; Nishikawa, T.; Enomoto, R. (2001). "Development of 3-Dimensional Module Package, "System Block Module"". Electronic Components and Technology Conference. Institute of Electrical and Electronics Engineers (51): 552–7.
  92. "TOSHIBA COMMERCIALIZES INDUSTRY'S HIGHEST CAPACITY EMBEDDED NAND FLASH MEMORY FOR MOBILE CONSUMER PRODUCTS". Toshiba. April 17, 2007. Retrieved 23 November 2010.
  93. "Hynix Surprises NAND Chip Industry". Korea Times. 5 September 2007. Retrieved 8 July 2019.
  94. "Toshiba announces new "3D" NAND flash technology". Engadget. 2007-06-12. Retrieved 10 July 2019.
  95. "Samsung Introduces World's First 3D V-NAND Based SSD for Enterprise Applications | Samsung | Samsung Semiconductor Global Website". www.samsung.com.
  96. Clarke, Peter. "Samsung Confirms 24 Layers in 3D NAND". EETimes.
  97. "Samsung Electronics Starts Mass Production of Industry First 3-bit 3D V-NAND Flash Memory". news.samsung.com.
  98. Strukov, Dmitri B; Snider, Gregory S; Stewart, Duncan R; Williams, Stanley R (2008). "The missing memristor found". Nature. 453 (7191): 80–83. Bibcode:2008Natur.453...80S. doi:10.1038/nature06932. PMID 18451858.
  99. "Stanford bioengineers create circuit board modeled on the human brain - Stanford News Release". news.stanford.edu. 2014-04-28.
  100. Kelion, Leo (2015-07-28). "3D Xpoint memory: Faster-than-flash storage unveiled". BBC News.
  101. "Intel's New Memory Chips Are Faster, Store Way More Data". WIRED. July 28, 2015.
  102. Peter Bright (March 19, 2017). "Intel's first Optane SSD: 375GB that you can also use as RAM". Ars Technica. Retrieved March 31, 2017.
  103. Shilov, Anton (December 5, 2017). "Samsung Starts Production of 512 GB UFS NAND Flash Memory: 64-Layer V-NAND, 860 MB/s Reads". AnandTech. Retrieved 23 June 2019.
  104. Manners, David (30 January 2019). "Samsung makes 1TB flash eUFS module". Electronics Weekly. Retrieved 23 June 2019.
  105. Tallis, Billy (October 17, 2018). "Samsung Shares SSD Roadmap for QLC NAND And 96-layer 3D NAND". AnandTech. Retrieved 27 June 2019.
  106. Clark, Don (July 15, 2015). "Intel Rechisels the Tablet on Moore's Law". Wall Street Journal Digits Tech News and Analysis. Retrieved 2015-07-16. The last two technology transitions have signaled that our cadence today is closer to two and a half years than two
  107. "INTEL CORP, FORM 10-K (Annual Report), Filed 02/12/16 for the Period Ending 12/26/15" (PDF). Archived from the original (PDF) on 2018-12-04. Retrieved 2017-02-24.
  108. Nikonov, Dmitri E.; Young, Ian A. (2013-02-01). "Overview of Beyond-CMOS Devices and A Uniform Methodology for Their Benchmarking". Cornell University Library. arXiv:1302.0244. Bibcode:2013arXiv1302.0244N. Cite journal requires |journal= (help)
  109. Manipatruni, Sasikanth; Nikonov, Dmitri E.; Young, Ian A. (2016). "Material Targets for Scaling All Spin Logic". Physical Review Applied. 5 (1): 014002. arXiv:1212.3362. Bibcode:2016PhRvP...5a4002M. doi:10.1103/PhysRevApplied.5.014002.
  110. Behin-Aein, Behtash; Datta, Deepanjan; Salahuddin, Sayeef; Datta, Supriyo (2010-02-28). "Proposal for an all-spin logic device with built-in memory". Nature Nanotechnology. 5 (4): 266–270. Bibcode:2010NatNa...5..266B. doi:10.1038/nnano.2010.31. PMID 20190748.
  111. Dewey, G.; Kotlyar, R.; Pillarisetty, R.; Radosavljevic, M.; Rakshit, T.; Then, H.; Chau, R. (2009-12-07). "Logic performance evaluation and transport physics of Schottky-gate III–V compound semiconductor quantum well field effect transistors for power supply voltages (V<inf>CC</inf>) ranging from 0.5v to 1.0v". Logic performance evaluation and transport physics of Schottky-gate III-V compound semiconductor quantum well field effect transistors for power supply voltages (VCC) ranging from 0.5v to 1.0v. IEEE. pp. 1–4. doi:10.1109/IEDM.2009.5424314. ISBN 978-1-4244-5639-0.
  112. Radosavljevic R, et al. (2011-12-05). "Electrostatics improvement in 3-D tri-gate over ultra-thin body planar InGaAs quantum well field effect transistors with high-K gate dielectric and scaled gate-to-drain/gate-to-source separation". Electrostatics improvement in 3-D tri-gate over ultra-thin planar InGaAs quantum well field effect transistors with high-K gate dielectric and scaled gate-to-drain/gate-to-source separation. IEEE. pp. 33.1.1–33.1.4. doi:10.1109/IEDM.2011.6131661. ISBN 978-1-4577-0505-2.
  113. Cutress, Ian (2015-02-22). "Intel at ISSCC 2015: Reaping the Benefits of 14nm and Going Beyond 10nm". Anandtech. Retrieved 2016-08-15.
  114. Anthony, Sebastian (2015-02-23). "Intel forges ahead to 10nm, will move away from silicon at 7nm". Ars Technica. Retrieved 2016-08-15.
  115. Cooke, Mike (April–May 2011). "InGaAs tunnel FET with ON current increased by 61%" (PDF). 6 (6). Semiconductor Today. Retrieved 2016-08-15.
  116. Han Zhao; et al. (2011-02-28). "Improving the on-current of In0.7Ga0.3As tunneling field-effect-transistors by p++/n+ tunneling junction". Applied Physics Letters. 98 (9): 093501. Bibcode:2011ApPhL..98i3501Z. doi:10.1063/1.3559607.
  117. Knight, Helen (2012-10-12). "Tiny compound semiconductor transistor could challenge silicon's dominance". MIT News. Retrieved 2016-08-15.
  118. Cavin, R. K.; Lugli, P.; Zhirnov, V. V. (2012-05-01). "Science and Engineering Beyond Moore's Law". Proceedings of the IEEE. 100 (Special Centennial Issue): 1720–1749. doi:10.1109/JPROC.2012.2190155. ISSN 0018-9219.
  119. Avouris, Phaedon; Chen, Zhihong; Perebeinos, Vasili (2007-09-30). "Carbon-based electronics" (PDF). Nature Nanotechnology. 2 (10): 605–15. Bibcode:2007NatNa...2..605A. doi:10.1038/nnano.2007.300. PMID 18654384. Retrieved 2016-08-15.
  120. Schwierz, Frank (2010-04-11). "Graphene Transistors -- A New Contender for Future Electronics". Solid-State and Integrated Circuit Technology (ICSICT), 2010 10th IEEE International Conference. Cite journal requires |journal= (help)
  121. Dubash, Manek (2005-04-13). "Moore's Law is dead, says Gordon Moore". Techworld. Retrieved 2006-06-24.
  122. Waldrop, M. Mitchell (2016-02-09). "The chips are down for Moore's law". Nature. 530 (7589): 144–147. Bibcode:2016Natur.530..144W. doi:10.1038/530144a. ISSN 0028-0836. PMID 26863965.
  123. "IRDS launch announcement 4 MAY 2016" (PDF).
  124. Cross, Tim. "After Moore's Law". The Economist Technology Quarterly. Retrieved 2016-03-13. chart: "Faith no Moore" Selected predictions for the end of Moore's law
  125. Kumar, Suhas (2012). "Fundamental Limits to Moore's Law". arXiv:1511.05956 [cond-mat.mes-hall].
  126. Smaller, Faster, Cheaper, Over: The Future of Computer Chips NY Times, September 2015
  127. Rauch, Jonathan (January 2001). "The New Old Economy: Oil, Computers, and the Reinvention of the Earth". The Atlantic Monthly. Retrieved November 28, 2008.
  128. Kendrick, John W. (1961). Productivity Trends in the United States. Princeton University Press for NBER. p. 3.
  129. Moore, Gordon E. (1995). "Lithography and the future of Moore's law" (PDF). SPIE. Retrieved 2014-05-27.
  130. Jorgenson, Dale W.; Ho, Mun S.; Samuels, Jon D. (2014). "Long-term Estimates of U.S. Productivity and Growth" (PDF). World KLEMS Conference. Retrieved 2014-05-27.
  131. Keyes, Robert W. (September 2006). "The Impact of Moore's Law". Solid State Circuits Newsletter. doi:10.1109/N-SSC.2006.4785857.
  132. Liddle, David E. (September 2006). "The Wider Impact of Moore's Law". Solid State Circuits Newsletter. 11 (3): 28–30. doi:10.1109/N-SSC.2006.4785858. Retrieved November 28, 2008.
  133. Jorgenson, Dale W. (2000). "Information Technology and the U.S. Economy: Presidential Address to the American Economic Association". American Economic Association. CiteSeerX 10.1.1.198.9555. Cite journal requires |journal= (help)
  134. Jorgenson, Dale W.; Ho, Mun S.; Stiroh, Kevin J. (2008). "A Retrospective Look at the U.S. Productivity Growth Resurgence". Journal of Economic Perspectives. 22: 3–24. doi:10.1257/jep.22.1.3.
  135. Grimm, Bruce T.; Moulton, Brent R.; Wasshausen, David B. (2002). "Information Processing Equipment and Software in the National Accounts" (PDF). U.S. Department of Commerce Bureau of Economic Analysis. Retrieved 2014-05-15.
  136. "Nonfarm Business Sector: Real Output Per Hour of All Persons". Federal Reserve Bank of St. Louis Economic Data. 2014. Retrieved 2014-05-27.
  137. Anderson, Richard G. (2007). "How Well Do Wages Follow Productivity Growth?" (PDF). Federal Reserve Bank of St. Louis Economic Synopses. Retrieved 2014-05-27.
  138. Shekhar Borkar, Andrew A. Chien (May 2011). "The Future of Microprocessors". Communications of the ACM. 54 (5): 67. doi:10.1145/1941487.1941507.
  139. See Herb Sutter,The Free Lunch Is Over: A Fundamental Turn Toward Concurrency in Software, Dr. Dobb's Journal, 30(3), March 2005. Retrieved November 21, 2011.
  140. Shimpi, Anand Lal (2004-07-21). "AnandTech: Intel's 90nm Pentium M 755: Dothan Investigated". Anadtech. Retrieved 2007-12-12.
  141. "Parallel JavaScript". Intel. 2011-09-15. Retrieved 2013-08-08.
  142. Standborn, Peter (April 2008). "Trapped on Technology's Trailing Edge". IEEE Spectrum. Retrieved 2011-11-27.
  143. "WEEE – Combating the obsolescence of computers and other devices". SAP Community Network. 2012-12-14. Retrieved 2013-08-08.
  144. Malone, Michael S. (March 27, 2003). "Silicon Insider: Welcome to Moore's War". ABC News. Retrieved 2011-08-22.
  145. Zygmont, Jeffrey (2003). Microchip. Cambridge, MA, USA: Perseus Publishing. pp. 154–169. ISBN 978-0-7382-0561-8.
  146. Lipson, Hod (2013). Fabricated: The New World of 3D Printing. Indianapolis, IN, USA: John Wiley & Sons. ISBN 978-1-118-35063-8.
  147. "Qualcomm Processor". Qualcomm. 2017-11-08.
  148. Stokes, Jon (2008-09-27). "Understanding Moore's Law". Ars Technica. Retrieved 2011-08-22.
  149. Borkar, Shekhar; Chien, Andrew A. (May 2011). "The Future of Microprocessors". Communications of the ACM. 54 (5): 67. CiteSeerX 10.1.1.227.3582. doi:10.1145/1941487.1941507. Retrieved 2011-11-27.
  150. Bohr, Mark (January 2007). "A 30 Year Retrospective on Dennard's MOSFET Scaling Paper" (PDF). Solid-State Circuits Society. Retrieved January 23, 2014.
  151. Esmaeilzedah, Hadi; Blem, Emily; St. Amant, Renee; Sankaralingam, Kartikeyan; Burger, Doug. "Dark Silicon and the end of multicore scaling" (PDF).
  152. Hruska, Joel (February 1, 2012). "The death of CPU scaling: From one core to many — and why we're still stuck". ExtremeTech. Retrieved January 23, 2014.
  153. Mistry, Kaizad (2011). "Tri-Gate Transistors: Enabling Moore's Law at 22nm and Beyond" (PDF). Intel Corporation at semiconwest.org. Archived from the original (PDF) on 2015-06-23. Retrieved 2014-05-27.
  154. John L. Hennessy; David A. Patterson (June 4, 2018). "A New Golden Age for Computer Architecture: Domain-Specific Hardware/Software Co-Design, Enhanced Security, Open Instruction Sets, and Agile Chip Development" (PDF). International Symposium on Computer Architecture - ISCA 2018. End of Growth of Single Program Speed?
  155. "Private fixed investment, chained price index: Nonresidential: Equipment: Information processing equipment: Computers and peripheral equipment". Federal Reserve Bank of St. Louis. 2014. Retrieved 2014-05-12.
  156. Nambiar, Raghunath; Poess, Meikel (2011). Transaction Performance vs. Moore's Law: A Trend Analysis. Lecture Notes in Computer Science. 6417. Springer. pp. 110–120. doi:10.1007/978-3-642-18206-8_9. ISBN 978-3-642-18205-1.
  157. Feroli, Michael (2013). "US: is I.T. over?" (PDF). JPMorgan Chase Bank NA Economic Research. Retrieved 2014-05-15.
  158. Byrne, David M.; Oliner, Stephen D.; Sichel, Daniel E. (March 2013). Is the Information Technology Revolution Over? (PDF). Finance and Economics Discussion Series Divisions of Research & Statistics and Monetary Affairs Federal Reserve Board. Washington, D.C.: Federal Reserve Board Finance and Economics Discussion Series (FEDS). Archived (PDF) from the original on 2014-06-09. technical progress in the semiconductor industry has continued to proceed at a rapid pace ... Advances in semiconductor technology have driven down the constant-quality prices of MPUs and other chips at a rapid rate over the past several decades.
  159. Aizcorbe, Ana; Oliner, Stephen D.; Sichel, Daniel E. (2006). "Shifting Trends in Semiconductor Prices and the Pace of Technological Progress". The Federal Reserve Board Finance and Economics Discussion Series. Retrieved 2014-05-15.
  160. Aizcorbe, Ana (2005). "Why Are Semiconductor Price Indexes Falling So Fast? Industry Estimates and Implications for Productivity Measurement" (PDF). U.S. Department of Commerce Bureau of Economic Analysis. Archived from the original (PDF) on 2017-08-09. Retrieved 2014-05-15.
  161. Sun, Liyang (2014-04-25). "What We Are Paying for: A Quality Adjusted Price Index for Laptop Microprocessors". Wellesley College. Retrieved 2014-11-07. ... compared with −25% to −35% per year over 2004–2010, the annual decline plateaus around −15% to −25% over 2010–2013.
  162. Aizcorbe, Ana; Kortum, Samuel (2004). "Moore's Law and the Semiconductor Industry: A Vintage Model" (PDF). U.S. Department of Commerce Bureau of Economic Analysis. Retrieved 2014-05-27.
  163. Markoff, John (2004). "Intel's Big Shift After Hitting Technical Wall". New York Times. Retrieved 2014-05-27.
  164. Walter, Chip (2005-07-25). "Kryder's Law". Scientific American. (Verlagsgruppe Georg von Holtzbrinck GmbH). Retrieved 2006-10-29.
  165. Plumer, Martin L.; et al. (March 2011). "New Paradigms in Magnetic Recording". Physics in Canada. 67 (1): 25–29. arXiv:1201.5543. Bibcode:2012arXiv1201.5543P.
  166. Mellor, Chris (2014-11-10). "Kryder's law craps out: Race to UBER-CHEAP STORAGE is OVER". theregister.co.uk. UK: The Register. Retrieved 2014-11-12. Currently 2.5-inch drives are at 500GB/platter with some at 600GB or even 667GB/platter – a long way from 20TB/platter. To reach 20TB by 2020, the 500GB/platter drives will have to increase areal density 44 times in six years. It isn't going to happen. ... Rosenthal writes: "The technical difficulties of migrating from PMR to HAMR, meant that already in 2010 the Kryder rate had slowed significantly and was not expected to return to its trend in the near future. The floods reinforced this."
  167. Jeff Hecht. "Is Keck's Law Coming to an End?". IEEE Spectrum. 2016.
  168. "Gerald Butters is a communications industry veteran". Forbes.com. Archived from the original on 2007-10-12.
  169. "Board of Directors". LAMBDA OpticalSystems. Retrieved 2011-08-22.
  170. Tehrani, Rich. "As We May Communicate". Tmcnet.com. Retrieved 2011-08-22.
  171. Robinson, Gail (2000-09-26). "Speeding net traffic with tiny mirrors". EE Times. Retrieved 2011-08-22.
  172. Nielsen, Jakob (1998-04-05). "Nielsen's Law of Internet Bandwidth". Alertbox. Retrieved 2011-08-22.
  173. Switkowski, Ziggy (2009-04-09). "Trust the power of technology". The Australian. Retrieved 2013-12-02.
  174. Günsirer, Emin; Farrow, Rik. "Some Lesser-Known Laws of Computer Science" (PDF). Retrieved 2013-12-02. Cite journal requires |journal= (help)
  175. "Using Moore's Law to Predict Future Memory Trends". 2011-11-21. Retrieved 2013-12-02.
  176. Myhrvold, Nathan (June 7, 2006). "Moore's Law Corollary: Pixel Power". New York Times. Retrieved 2011-11-27.
  177. Kennedy, Randall C. (2008-04-14). "Fat, fatter, fattest: Microsoft's kings of bloat". InfoWorld. Retrieved 2011-08-22.
  178. Rider (1944). The Scholar and the Future of the Research Library. New York City: Hadham Press.
  179. Life 2.0. (August 31, 2006). The Economist
  180. Carlson, Robert H. (2010). "Biology Is Technology: The Promise, Peril, and New Business of Engineering Life". Cambridge, MA: Harvard UP. Cite journal requires |journal= (help)
  181. Carlson, Robert (September 2003). "The Pace and Proliferation of Biological Technologies". Biosecurity and Bioterrorism: Biodefense Strategy, Practice, and Science. 1 (3): 203–214. doi:10.1089/153871303769201851. PMID 15040198.
  182. https://books.google.com/books?id=oRSMDF6y3l8C&printsec=frontcover#v=onepage&q&f=false Page 42, Fig 2
  183. https://books.google.com/books?id=ikEMAAAAIAAJ&q=%22learning+curve%22#v=snippet&q=%22learning%20curve%22&f=false The American Journal of Psychology, Volume 14 1903 By Granville Stanley Hall, Edward Bradford Titchene
  184. Wright, T.P., Factors Affecting the Cost of Airplanes, Journal of Aeronautical Sciences, 3(4) (1936): 122-128.
  185. Cherry, Steven (2004). "Edholm's law of bandwidth". IEEE Spectrum. 41 (7): 58–60. doi:10.1109/MSPEC.2004.1309810.
  186. Jindal, R. P. (2009). "From millibits to terabits per second and beyond - Over 60 years of innovation". 2009 2nd International Workshop on Electron Devices and Semiconductor Technology: 1–6. doi:10.1109/EDST.2009.5166093. ISBN 978-1-4244-3831-0.
  187. Kurzweil, Ray (1999). The Age of Spiritual Machines: When Computers Exceed Human Intelligence. New York: Penguin. p. 37. ISBN 0-670-88217-8. Retrieved 2015-04-06.
  188. Paul Asel (2018-05-18). "Technology innovation on the second half of the chessboard". TechCrunch.
  189. "Where We're at in the Race Against the Machines". Inc. 2013-12-23.

Further reading

  • Moore's Law: The Life of Gordon Moore, Silicon Valley's Quiet Revolutionary. Arnold Thackray, David C. Brock, and Rachel Jones. New York: Basic Books, (May) 2015.
  • Understanding Moore's Law: Four Decades of Innovation. Edited by David C. Brock. Philadelphia: Chemical Heritage Foundation, 2006. ISBN 0-941901-41-6. OCLC 66463488.
  • Mody, Cyrus (December 2016). The long arm of Moore's law : microelectronics and American science. The MIT Press. ISBN 978-0262035491.
This article is issued from Wikipedia. The text is licensed under Creative Commons - Attribution - Sharealike. Additional terms may apply for the media files.